stringtranslate.com

Фазовый детектор

Четырехфазные детекторы. Поток сигнала идет слева направо. В левом верхнем углу находится ячейка Гилберта , которая хорошо работает для синусоидальных и прямоугольных волн , но хуже для импульсов. В случае прямоугольных волн он действует как вентиль XOR, который также может быть изготовлен из вентилей NAND. В центре слева расположены два фазовых детектора: добавление обратной связи и удаление одного вентиля И-НЕ дает частотно-временной детектор. Линия задержки позволяет избежать мертвой зоны. Справа — зарядовый насос с фильтром на выходе.

Фазовый детектор или фазовый компаратор — это смеситель частот , аналоговый умножитель или логическая схема, которая генерирует сигнал, который представляет собой разность фаз между двумя входными сигналами.

Фазовый детектор является важным элементом системы фазовой автоподстройки частоты (ФАПЧ). Обнаружение разности фаз важно и в других приложениях, таких как управление двигателями , радиолокационные и телекоммуникационные системы, сервомеханизмы и демодуляторы .

Типы

Фазовые детекторы для схем фазовой автоподстройки частоты можно разделить на два типа. [1] Детектор типа I предназначен для управления аналоговыми сигналами или прямоугольными цифровыми сигналами и выдает выходной импульс на разностной частоте. Детектор типа I всегда генерирует выходной сигнал, который необходимо отфильтровать для управления генератором, управляемым напряжением (ГУН) с фазовой автоподстройкой частоты. Детектор типа II чувствителен только к относительной синхронизации фронтов входного и опорного импульсов и выдает постоянный выходной сигнал, пропорциональный разности фаз, когда оба сигнала имеют одинаковую частоту. Этот выходной сигнал не будет вызывать пульсаций управляющего напряжения ГУН.

Аналоговый фазовый детектор

Фазовому детектору необходимо вычислить разность фаз двух входных сигналов. Пусть α — фаза первого входа, а β — фаза второго. Однако фактические входные сигналы фазового детектора представляют собой не α и β, а скорее синусоиды , такие как sin(α) и cos(β). В общем, вычисление разности фаз будет включать в себя вычисление арксинуса и арккосинуса каждого нормализованного входного сигнала (чтобы получить постоянно увеличивающуюся фазу) и выполнение вычитания. Такой аналоговый расчет затруднителен. К счастью, расчет можно упростить, используя некоторые приближения.

Предположим, что разности фаз будут небольшими (например, намного меньше 1 радиана). Приближение малого угла для функции синуса и формула сложения углов синуса дают:

Выражение предполагает, что квадратурный фазовый детектор можно создать путем суммирования выходных сигналов двух умножителей. Квадратурные сигналы могут быть сформированы с помощью схем фазового сдвига. Двумя распространенными реализациями умножителей являются двойной балансный диодный смеситель, диодное кольцо и четырехквадрантный умножитель, ячейка Гилберта .

Вместо использования двух множителей более распространенный фазовый детектор использует один множитель и другую тригонометрическую идентичность:

Первый член обеспечивает желаемую разность фаз. Второй член представляет собой синусоиду с удвоенной опорной частотой, поэтому его можно отфильтровать. В случае сигналов общего назначения выходной сигнал фазового детектора описывается характеристикой фазового детектора .

Детектор на основе смесителя (например, двойной балансный смеситель на основе диода Шоттки ) обеспечивает «максимальные характеристики минимального фазового шума» и «чувствительность системы». поскольку он не создает импульсы конечной ширины на выходе фазового детектора. [2] Еще одним преимуществом ФД на основе смесителя является его относительная простота. [2] И квадратурный, и простой фазовый детектор с умножителем имеют выходной сигнал, который зависит от входных амплитуд, а также от разности фаз. На практике входные амплитуды входных сигналов нормализуются перед подачей в детектор, чтобы устранить амплитудную зависимость.

Цифровой фазовый детектор

Пример цифрового фазочастотного детектора КМОП. Входы — R и V, а выходы U p и D n подаются на зарядный насос.

Фазовый детектор, подходящий для сигналов прямоугольной формы, может быть изготовлен из логического элемента исключающее ИЛИ (XOR) . Когда два сравниваемых сигнала полностью синфазны, выходной сигнал логического элемента XOR будет иметь постоянный нулевой уровень. Когда два сигнала различаются по фазе на 1°, выходной сигнал исключающего ИЛИ будет высоким в течение 1/180 каждого цикла — доли цикла, в течение которой два сигнала различаются по значению. Когда сигналы различаются на 180° — то есть один сигнал имеет высокий уровень, а другой — низкий, и наоборот — выходной сигнал исключающего ИЛИ остается высоким на протяжении каждого цикла. Этот фазовый детектор требует, чтобы входные сигналы представляли собой симметричные прямоугольные волны или почти таковые.

Детектор XOR выгодно отличается от аналогового смесителя тем, что он фиксирует разность фаз около 90° и имеет выходную пульсовую волну с удвоенной опорной частотой. На выходе изменяется рабочий цикл пропорционально разности фаз. Применение выхода логического элемента XOR к фильтру нижних частот приводит к получению аналогового напряжения, пропорционального разности фаз между двумя сигналами. Остальные его характеристики очень похожи на аналоговый смеситель в отношении диапазона захвата, времени синхронизации, опорных паразитных сигналов и требований к фильтру нижних частот.

Цифровые фазовые детекторы также могут быть основаны на схеме выборки и хранения , накачке заряда или логической схеме, состоящей из триггеров . Когда в системе ФАПЧ используется фазовый детектор на основе логических элементов, он может быстро заставить ГУН синхронизироваться с входным сигналом, даже если частота входного сигнала существенно отличается от начальной частоты ГУН. Такие фазовые детекторы также обладают другими желательными свойствами, такими как более высокая точность при небольшой разнице фаз между двумя сравниваемыми сигналами и превосходный диапазон захвата .

Детектор фазовой частоты

Детектор фазовой частоты ( PFD ) представляет собой асинхронную схему , первоначально состоящую из четырех триггеров (т.е. детекторов фазовой частоты, которые можно найти как в микросхемах RCA CD4046, так и в микросхемах Motorola MC4344 , представленных в 1970-х годах). Логика определяет, какой из двух сигналов имеет переход через нуль раньше или чаще. При использовании в приложении ФАПЧ синхронизация может быть достигнута даже при отключенной частоте.

PFD улучшает диапазон срабатывания и время синхронизации по сравнению с более простыми конструкциями фазовых детекторов, такими как умножители или логические элементы исключающее ИЛИ. Эти конструкции хорошо работают, когда две входные фазы уже близки к синхронизации или синхронизированы, но работают плохо, когда разность фаз слишком велика. Когда разность фаз слишком велика (что происходит, когда мгновенная разность частот велика), знак коэффициента усиления контура может измениться и начать отводить ГУН от синхронизации. Преимущество PFD заключается в том, что он выдает выходной сигнал, даже если два сравниваемых сигнала различаются не только по фазе, но и по частоте. Детектор фазовой частоты предотвращает состояние ложной синхронизации в приложениях ФАПЧ, в которых ФАПЧ синхронизируется с неправильной фазой входного сигнала или с неправильной частотой (например, гармоникой входного сигнала). [3]

Фазочастотный детектор релейной накачки заряда подает импульсы тока с фиксированным общим зарядом, положительным или отрицательным, на конденсатор, действующий как интегратор . Фазовый детектор для релейного зарядового насоса всегда должен иметь мертвую зону , где фазы входов достаточно близки, чтобы детектор сработал либо оба, либо ни один из зарядовых насосов, без полного эффекта. Фазовые детекторы типа «банг-бэнг» просты, но связаны со значительным минимальным размахом джиттера из-за дрейфа в пределах мертвой зоны.

В 1976 году было показано, что, используя конфигурацию фазочастотного детектора с тремя состояниями (с использованием только двух триггеров ) вместо исходной конфигурации с четырьмя триггерами RCA/Motorola, эту проблему можно элегантно преодолеть. [ нужна цитация ] Для других типов фазочастотных детекторов существуют другие, хотя, возможно, менее элегантные, решения проблемы мертвой зоны. [3] Необходимы другие решения, поскольку трехпозиционный фазочастотный детектор не работает для определенных приложений, включающих рандомизированное ухудшение сигнала, которое можно найти на входах некоторых систем регенерации сигнала (например, конструкции восстановления тактового сигнала ). [4]

Пропорциональный фазовый детектор использует зарядовый насос, который подает количество заряда пропорционально обнаруженной фазовой ошибке. У некоторых есть мертвые зоны, а у некоторых нет. В частности, некоторые конструкции генерируют управляющие импульсы как вверх, так и вниз, даже если разность фаз равна нулю. Эти импульсы малы, номинально имеют одинаковую длительность и заставляют накачку заряда генерировать положительные и отрицательные импульсы тока с одинаковым зарядом, когда фазы идеально согласованы. Фазовые детекторы с такой системой управления не имеют зоны нечувствительности и обычно имеют более низкий минимальный размах джиттера при использовании в системах ФАПЧ.

В приложениях с ФАПЧ часто требуется знать, когда контур вышел из синхронизации. Более сложные цифровые фазочастотные детекторы обычно имеют выход, который позволяет надежно указывать состояние разблокировки.

Электронный фазовый детектор

Некоторые методы обработки сигналов, например, используемые в радарах , могут требовать как амплитуду, так и фазу сигнала, чтобы восстановить всю информацию, закодированную в этом сигнале. Один из методов заключается в подаче сигнала с ограниченной амплитудой в один порт детектора продукта и опорного сигнала в другой порт; выходной сигнал детектора будет представлять собой разность фаз между сигналами.

Оптические фазовые детекторы

В оптике фазовые детекторы также известны как интерферометры . Говорят, что импульсный ( амплитудно-модулированный ) свет измеряет фазу между несущими. Измерить задержку между огибающими двух коротких оптических импульсов можно также методом взаимной корреляции в нелинейном кристалле . И можно измерить фазу между огибающей и несущей оптического импульса , отправив импульс в нелинейный кристалл. Там спектр расширяется и по краям форма существенно зависит от фазы.

Смотрите также

Рекомендации

  1. ^ Пол Горовиц и Уинфилд Хилл, Искусство электроники, 2-е изд. Издательство Кембриджского университета, Кембридж, 1989 ISBN  0-521-37095-7 стр. 644
  2. ^ аб Кроуфорд 1994, стр. 9, 19.
  3. ^ ab Crawford 1994, стр. 17–23, 153 и несколько других страниц.
  4. ^ Волавер 1991, с. 211

дальнейшее чтение

Внешние ссылки