stringtranslate.com

Электронно-лучевая литография

Пример установки электронно-лучевой литографии

Электронно-лучевая литография (часто сокращенно e-beam lithography или EBL ) — это практика сканирования сфокусированного пучка электронов для рисования пользовательских фигур на поверхности, покрытой электронно-чувствительной пленкой, называемой резистом ( экспонирование). [1] Электронный луч изменяет растворимость резиста, позволяя выборочно удалять либо экспонированные, либо неэкспонированные области резиста путем погружения его в растворитель ( проявление). Цель, как и в случае с фотолитографией , состоит в создании очень маленьких структур в резисте, которые впоследствии могут быть перенесены на материал подложки, часто путем травления .

Основным преимуществом электронно-лучевой литографии является то, что она может рисовать пользовательские шаблоны (прямая запись) с разрешением менее 10  нм . Эта форма безмасочной литографии имеет высокое разрешение, но низкую производительность, что ограничивает ее применение изготовлением фотошаблонов , мелкосерийным производством полупроводниковых приборов , а также исследованиями и разработками .

Системы

Системы электронно-лучевой литографии, используемые в коммерческих приложениях, являются специализированными системами электронной записи, которые очень дороги (> US$1M). Для исследовательских приложений очень распространено преобразование электронного микроскопа в систему электронно-лучевой литографии с использованием относительно недорогих аксессуаров (<US$100K). Такие преобразованные системы производили ширину линий ~20 нм по крайней мере с 1990 года, в то время как современные специализированные системы производят ширину линий порядка 10 нм или меньше.

Системы электронно-лучевой литографии можно классифицировать по форме луча и стратегии отклонения луча. Более старые системы использовали гауссовы лучи, которые сканировали эти лучи растровым способом . Более новые системы используют сформированные лучи, которые можно отклонять в различные положения в поле записи (также известное как векторное сканирование ).

Источники электронов

Системы с более низким разрешением могут использовать термоионные источники (катод), которые обычно формируются из гексаборида лантана . Однако системы с более высокими требованиями к разрешению должны использовать источники полевой электронной эмиссии , такие как нагретый W/ZrO 2 для меньшего разброса энергии и повышенной яркости. Источники тепловой полевой эмиссии предпочтительнее источников холодной эмиссии, несмотря на немного больший размер пучка первых, поскольку они обеспечивают лучшую стабильность в течение типичного времени записи в несколько часов.

Линзы

Можно использовать как электростатические, так и магнитные линзы. Однако электростатические линзы имеют больше аберраций и поэтому не используются для точной фокусировки. В настоящее время [ когда? ] нет механизма для создания ахроматических электронно-лучевых линз, поэтому для наилучшей фокусировки необходимы чрезвычайно узкие дисперсии энергии электронного пучка. [ требуется цитата ] [ требуется обновление ]

Этап, сшивание и выравнивание

Сшивание полей. Сшивание — это проблема критических объектов, пересекающих границу поля (красная пунктирная линия).

Обычно для очень малых отклонений луча используются электростатические отклоняющие «линзы»; для больших отклонений луча требуется электромагнитное сканирование. Из-за неточности и из-за конечного числа шагов в сетке экспозиции поле записи составляет порядка 100 микрометров – 1 мм. Более крупные узоры требуют перемещения столика. Точный столик имеет решающее значение для сшивания (наложения полей записи точно друг на друга) и наложения узора (выравнивания узора по ранее созданному).

Время записи электронного луча

Минимальное время облучения заданной области при заданной дозе определяется по следующей формуле: [2]

где — время экспонирования объекта (можно разделить на время экспонирования/размер шага), — ток пучка, — доза и — площадь экспонирования.

Например, предположив, что площадь экспозиции составляет 1 см2 , доза составляет 10−3 кулонов / см2 и ток пучка составляет 10−9 ампер , результирующее минимальное время записи составит 106 секунд (около 12 дней). Это минимальное время записи не включает время на перемещение столика вперед и назад, а также время на гашение пучка (блокировку от пластины во время отклонения), а также время на другие возможные коррекции и корректировки пучка в середине записи. Чтобы покрыть площадь поверхности 700 см2 кремниевой пластины диаметром 300 мм, минимальное время записи увеличится до 7*108 секунд , что составляет около 22 лет. Это примерно в 10 миллионов раз медленнее, чем у современных инструментов оптической литографии. Очевидно, что пропускная способность является серьезным ограничением для электронно-лучевой литографии, особенно при записи плотных рисунков на большой площади.

Электронно-лучевая литография не подходит для крупносерийного производства из-за ограниченной пропускной способности. Меньшее поле записи электронного луча приводит к очень медленной генерации шаблона по сравнению с фотолитографией (текущий стандарт), поскольку для формирования окончательной области шаблона необходимо сканировать больше полей экспозиции (≤мм 2 для электронного луча против ≥40 мм 2 для оптического сканера проекций масок). Стол перемещается между сканированиями поля. Поле электронного луча достаточно мало, чтобы для шаблонизации области размером 26 мм X 33 мм, например, требовалось растровое или змеевидное движение стола, тогда как в фотолитографическом сканере потребовалось бы только одномерное движение щелевого поля размером 26 мм X 2 мм.

В настоящее время оптический инструмент безмасочной литографии [3] намного быстрее, чем электронно-лучевой инструмент, используемый при том же разрешении для создания рисунка фотошаблона.

Шум выстрела

По мере уменьшения размеров объектов число падающих электронов при фиксированной дозе также уменьшается. Как только число достигает ~10000, эффекты дробового шума становятся преобладающими, что приводит к существенному естественному изменению дозы в пределах большой популяции объектов. С каждым последующим узлом процесса, поскольку площадь объекта уменьшается вдвое, минимальная доза должна удваиваться, чтобы поддерживать тот же уровень шума. Следовательно, пропускная способность инструмента будет уменьшаться вдвое с каждым последующим узлом процесса.

Примечание: 1 ppm популяции составляет около 5 стандартных отклонений от средней дозы.

Ссылка: SPIE Proc. 8683-36 (2013)

Дробовой шум является существенным фактором даже при изготовлении масок. Например, коммерческий резист для электронных лучей маски, такой как FEP-171, будет использовать дозы менее 10 мкКл/см2 , [ 4] [5], тогда как это приводит к заметному дробовому шуму для критического размера цели (CD) даже порядка ~200 нм на маске. [6] [7] Изменение CD может быть порядка 15–20% для характеристик менее 20 нм. [8] [9]

Дефекты в электронно-лучевой литографии

Несмотря на высокое разрешение электронно-лучевой литографии, пользователи часто не учитывают возникновение дефектов во время электронно-лучевой литографии. Дефекты можно разделить на две категории: дефекты, связанные с данными, и физические дефекты.

Дефекты, связанные с данными, можно далее классифицировать на две подкатегории. Ошибки гашения или отклонения возникают, когда электронный луч не отклоняется должным образом, когда он должен, в то время как ошибки формирования возникают в системах с изменяемой формой луча, когда на образец проецируется неправильная форма. Эти ошибки могут возникать либо из-за электронно-оптического оборудования управления, либо из-за входных данных, которые были записаны. Как и следовало ожидать, более крупные файлы данных более восприимчивы к дефектам, связанным с данными.

Физические дефекты более разнообразны и могут включать зарядку образца (как отрицательную, так и положительную), ошибки расчета обратного рассеяния, ошибки дозы, запотевание (дальнее отражение обратно рассеянных электронов), дегазацию, загрязнение, дрейф пучка и частицы. Поскольку время записи для электронно-лучевой литографии может легко превысить день, «случайно возникающие» дефекты более вероятны. И здесь, большие файлы данных могут предоставить больше возможностей для дефектов.

Дефекты фотошаблонов в основном возникают в процессе электронно-лучевой литографии, используемой для определения рисунка.

Депонирование энергии электронов в веществе

Траектории электронов в резисте: падающий электрон (красный) производит вторичные электроны (синие). Иногда падающий электрон сам может быть рассеян обратно, как показано здесь, и покинуть поверхность резиста (янтарный).

Первичные электроны в падающем пучке теряют энергию при входе в материал через неупругое рассеяние или столкновения с другими электронами. При таком столкновении передача импульса от падающего электрона к атомному электрону может быть выражена как [10] , где b - расстояние наибольшего сближения между электронами, а v - скорость падающего электрона. Энергия, передаваемая при столкновении, определяется как , где m - масса электрона, а E - энергия падающего электрона, определяемая как . Интегрируя по всем значениям T между наименьшей энергией связи, E 0 и падающей энергией, получаем результат, что полное поперечное сечение столкновения обратно пропорционально падающей энергии и пропорционально 1/E 0 - 1/E . Как правило, E >> E 0 , поэтому результат по существу обратно пропорционален энергии связи.

Используя тот же подход к интегрированию, но в диапазоне от 2E 0 до E , можно получить путем сравнения сечений, что половина неупругих столкновений падающих электронов производит электроны с кинетической энергией, большей, чем E 0 . Эти вторичные электроны способны разрывать связи (с энергией связи E 0 ) на некотором расстоянии от исходного столкновения. Кроме того, они могут генерировать дополнительные электроны с более низкой энергией, что приводит к электронному каскаду . Следовательно, важно признать значительный вклад вторичных электронов в распространение энергетического вклада.

В общем случае для молекулы AB: [11]

е + АВ → АВ → А + В

Эта реакция, также известная как «присоединение электронов» или «диссоциативное присоединение электронов», вероятнее всего, произойдет после того, как электрон существенно замедлится до остановки, поскольку в этой точке его легче всего захватить. Поперечное сечение присоединения электронов обратно пропорционально энергии электронов при высоких энергиях, но приближается к максимальному предельному значению при нулевой энергии. [12] С другой стороны, уже известно, что средняя длина свободного пробега при самых низких энергиях (от нескольких до нескольких эВ или меньше, где диссоциативное присоединение имеет существенное значение) значительно превышает 10 нм, [13] [14] что ограничивает возможность последовательного достижения разрешения в этом масштабе.

Разрешающая способность

Миграция электронов с низкой энергией. Расстояние (r), пройденное электроном с низкой энергией, влияет на разрешение и может составлять не менее нескольких нанометров.

С сегодняшней электронной оптикой ширина электронного пучка может обычно уменьшаться до нескольких нанометров. Это ограничивается в основном аберрациями и пространственным зарядом . Однако предел разрешения элемента определяется не размером пучка, а прямым рассеянием (или эффективным расширением пучка) в резисте , в то время как предел разрешения шага определяется вторичным перемещением электронов в резисте . [15] [16] Эта точка зрения была доказана демонстрацией 2007 года двойного шаблонирования с использованием электронно-лучевой литографии при изготовлении зонных пластин с половинным шагом 15 нм. [17] Хотя элемент размером 15 нм был разрешен, шаг в 30 нм все еще было трудно сделать из-за рассеяния вторичных электронов от соседнего элемента. Использование двойного шаблонирования позволило сделать расстояние между элементами достаточно большим, чтобы вторичное рассеяние электронов было значительно уменьшено.

Прямое рассеяние можно уменьшить, используя электроны с более высокой энергией или более тонкий резист, но генерация вторичных электронов неизбежна. В настоящее время признано, что для изоляционных материалов, таких как ПММА , электроны с низкой энергией могут перемещаться на довольно большое расстояние (возможно несколько нм). Это связано с тем, что ниже потенциала ионизации единственный механизм потери энергии в основном через фононы и поляроны . Хотя последний в основном является эффектом ионной решетки, [18] прыжки поляронов могут распространяться на расстояние до 20 нм. [19] Расстояние перемещения вторичных электронов не является фундаментально выведенным физическим значением, а статистическим параметром, часто определяемым из многих экспериментов или моделирования Монте-Карло вплоть до < 1 эВ. Это необходимо, поскольку распределение энергии вторичных электронов достигает пиков значительно ниже 10 эВ. [20] Следовательно, предел разрешения обычно не упоминается как строго фиксированное число, как в случае с оптической системой, ограниченной дифракцией. [15] Повторяемость и контроль на пределе практического разрешения часто требуют учета факторов, не связанных с формированием изображения, например, проявления резиста и межмолекулярных сил.

Исследование Колледжа наномасштабной науки и техники (CNSE), представленное на семинаре EUVL 2013 года, показало, что в качестве меры электронного размытия электроны 50–100 эВ легко проникают за пределы 10 нм толщины резиста в PMMA или коммерческом резисте. Кроме того, возможен разряд диэлектрического пробоя. [21] Более поздние исследования показали, что резист толщиной 20 нм может быть пронизан электронами низкой энергии (достаточной дозы), а электронно-лучевая литография с шагом менее 20 нм уже требует двойного шаблонирования. [22] [23]

По состоянию на 2022 год современный электронный многолучевой писатель достигает разрешения около 20 нм. [24] [25]

Рассеивание

Помимо производства вторичных электронов, первичные электроны из падающего пучка с достаточной энергией для проникновения в резист могут многократно рассеиваться на больших расстояниях от нижележащих пленок и/или подложки. Это приводит к экспонированию областей на значительном расстоянии от желаемого места экспонирования. Для более толстых резистов, по мере того как первичные электроны движутся вперед, у них появляется все больше возможностей рассеиваться вбок от определенного пучком местоположения. Это рассеяние называется прямым рассеянием . Иногда первичные электроны рассеиваются под углами, превышающими 90 градусов, т. е. они больше не продвигаются дальше в резист. Эти электроны называются обратнорассеянными электронами и имеют тот же эффект, что и дальнее свечение в оптических проекционных системах. Достаточно большая доза обратнорассеянных электронов может привести к полному экспонированию резиста на площади, намного большей, чем определено пятном луча.

Эффект близости

Наименьшие элементы, созданные электронно-лучевой литографией, как правило, были изолированными элементами, поскольку вложенные элементы усиливают эффект близости , при котором электроны от экспозиции соседней области перетекают в экспозицию текущего записанного элемента, эффективно увеличивая его изображение и уменьшая его контраст, т. е. разницу между максимальной и минимальной интенсивностью. Следовательно, разрешение вложенных элементов сложнее контролировать. Для большинства резистов трудно опуститься ниже 25 нм линий и промежутков, и был обнаружен предел в 20 нм линий и промежутков. [26] Однако в действительности диапазон рассеяния вторичных электронов довольно далек, иногда превышая 100 нм, [27] но становится очень значительным ниже 30 нм. [28]

Эффект близости также проявляется в том, что вторичные электроны покидают верхнюю поверхность резиста, а затем возвращаются на расстояние в несколько десятков нанометров. [29]

Эффекты близости (из-за рассеяния электронов) можно устранить, решив обратную задачу и вычислив функцию экспозиции E(x,y), которая приводит к распределению дозы, максимально приближенному к желаемой дозе D(x,y) при свертке с функцией рассеяния точки распределения рассеяния PSF(x,y) . Однако следует помнить, что ошибка в примененной дозе (например, из-за дробового шума) приведет к сбою коррекции эффекта близости.

Зарядка

Поскольку электроны являются заряженными частицами, они имеют тенденцию заряжать подложку отрицательно, если только они не могут быстро получить доступ к пути к земле. Для высокоэнергетического пучка, падающего на кремниевую пластину, практически все электроны останавливаются в пластине, где они могут следовать по пути к земле. Однако для кварцевой подложки, такой как фотошаблон , внедренным электронам потребуется гораздо больше времени, чтобы переместиться к земле. Часто отрицательный заряд, приобретенный подложкой, может быть компенсирован или даже превышен положительным зарядом на поверхности из-за вторичной электронной эмиссии в вакуум. Наличие тонкого проводящего слоя над или под резистом, как правило, ограниченно применимо для высокоэнергетических (50 кэВ или более) электронных пучков, поскольку большинство электронов проходят через слой в подложку. Слой рассеивания заряда, как правило, полезен только около или ниже 10 кэВ, поскольку резист тоньше, и большинство электронов либо останавливаются в резисте, либо близко к проводящему слою. Однако они ограниченно применимы из-за их высокого поверхностного сопротивления, что может привести к неэффективному заземлению.

Диапазон низкоэнергетических вторичных электронов (крупнейший компонент популяции свободных электронов в системе резист-подложка), которые могут способствовать зарядке, не является фиксированным числом, а может варьироваться от 0 до 50 нм (см. раздел Новые рубежи и экстремальная ультрафиолетовая литография ). Следовательно, зарядка резиста-подложки не является повторяемой и ее трудно последовательно компенсировать. Отрицательный заряд отклоняет электронный луч от заряженной области, в то время как положительный заряд отклоняет электронный луч к заряженной области.

Характеристики сопротивления электронному лучу

Из-за того, что эффективность расщепления обычно на порядок выше эффективности сшивания, большинство полимеров, используемых для электронно-лучевой литографии позитивного тона, также будут сшиваться (и, следовательно, приобретать негативный тон) при дозах на порядок выше доз, используемых для расщепления полимера при экспонировании позитивного тона. В случае ПММА, экспонирования электронов до более чем 1000 мкКл/см 2 , градационная кривая соответствует кривой «нормального» позитивного процесса. Выше 2000 мкКл/см 2 преобладает рекомбинантный процесс сшивания, а при около 7000 мкКл/см 2 слой полностью сшивается, что делает слой более нерастворимым, чем неэкспонированный исходный слой. Если следует использовать негативные структуры ПММА, требуется более сильный проявитель, чем для позитивного процесса. [30] Такое большое увеличение дозы может потребоваться для предотвращения эффектов дробового шума. [31] [32] [33]

Исследование, проведенное в Военно-морской исследовательской лаборатории [34], показало, что электроны с низкой энергией (10–50 эВ) способны повредить пленки ПММА толщиной ~30 нм. Повреждение проявилось в виде потери материала.

В 2018 году был разработан тиол-еновый резист, который имеет собственные реактивные поверхностные группы, что позволяет осуществлять прямую функционализацию поверхности резиста биомолекулами. [38]

Новые рубежи

Чтобы обойти генерацию вторичных электронов, необходимо будет использовать низкоэнергетические электроны в качестве первичного излучения для экспонирования резиста. В идеале эти электроны должны иметь энергию порядка не намного больше нескольких эВ , чтобы экспонировать резист без генерации вторичных электронов, поскольку у них не будет достаточной избыточной энергии. Такое экспонирование было продемонстрировано с использованием сканирующего туннельного микроскопа в качестве источника электронного пучка. [39] Данные показывают, что электроны с энергией всего лишь 12 эВ могут проникать через полимерный резист толщиной 50 нм. Недостатком использования низкоэнергетических электронов является то, что трудно предотвратить распространение электронного пучка в резисте. [40] Низкоэнергетические электронно-оптические системы также трудно проектировать для высокого разрешения. [41] Кулоновское межэлектронное отталкивание всегда становится более сильным для более низкой энергии электронов.

Сканирующая зондовая литография. Сканирующий зонд может использоваться для низкоэнергетической электронно-лучевой литографии, обеспечивая разрешение менее 100 нм, определяемое дозой низкоэнергетических электронов.

Другой альтернативой в электронно-лучевой литографии является использование чрезвычайно высоких энергий электронов (не менее 100 кэВ) для того, чтобы по существу «просверлить» или распылить материал. Это явление часто наблюдалось в просвечивающей электронной микроскопии . [42] Однако это очень неэффективный процесс из-за неэффективной передачи импульса от электронного пучка к материалу. В результате это медленный процесс, требующий гораздо более длительного времени экспозиции, чем обычная электронно-лучевая литография. Кроме того, пучки высокой энергии всегда вызывают беспокойство по поводу повреждения подложки.

Интерференционная литография с использованием электронных пучков — еще один возможный путь для создания шаблонов массивов с периодами в нанометровом масштабе. Ключевым преимуществом использования электронов над фотонами в интерферометрии является гораздо более короткая длина волны при той же энергии.

Несмотря на различные сложности и тонкости электронно-лучевой литографии при разных энергиях, она остается наиболее практичным способом концентрации наибольшей энергии на наименьшей площади.

Значительный интерес вызвала разработка многолучевых подходов к литографии с целью повышения производительности. Эта работа была поддержана SEMATECH и стартапами, такими как Multibeam Corporation , [43] Mapper [44] и IMS. [45] IMS Nanofabrication коммерциализировала многолучевой печатный станок и начала его выпуск в 2016 году. [46]

Смотрите также

Ссылки

  1. ^ McCord, M A.; Rooks, MJ (2000). "2. Электронно-лучевая литография". Микролитография . SPIE Handbook of Microlithography, Micromachining and Microfabrication. Том 1. Архивировано из оригинала 2019-08-19 . Получено 2007-01-04 .
  2. ^ Паркер, Н. В. и др. (2000). Добиш, Элизабет А. (ред.). "Высокопроизводительная система литографии с прямым электронным лучом NGL". Proc. SPIE . Новые литографические технологии IV. 3997 : 713. Bibcode : 2000SPIE.3997..713P. doi : 10.1117/12.390042. S2CID  109415718.
  3. ^ Более быстрая и дешевая печать фотошаблонов 65 нм и 45 нм [ нерабочая ссылка ]
  4. ^ Kempsell, ML; Hendrickx, E.; Tritchkov, A.; Sakajiri, K.; Yasui, K.; Yoshitake, S.; Granik, Y.; Vandenberghe, G.; Smith, BW (2009). "Обратная литография для контактных отверстий с размером узла 45 нм при числовой апертуре 1,35". Журнал микро/нанолитографии, MEMS и MOEMS . 8 (4): 043001. doi :10.1117/1.3263702.
  5. ^ Сунаоши, Х.; Тачикава, Ю.; Хигураши, Х.; Иидзима, Т.; Сузуки, Дж.; Камикубо, Т.; Отоши, К.; Анз, Х.; Кацумата, Т.; Накаямада, Н.; Хара, С.; Тамамуси, С.; Огава, Ю. (2006). «EBM-5000: писатель электронно-лучевой маски для узла 45 нм». Фотошаблоны и технология литографических масок нового поколения XIII . Слушания SPIE. Том. 6283. с. 628306. дои : 10.1117/12.681732.
  6. ^ Угадзин, К.; Сайто, М.; Суэнага, М.; Хигаки, Т.; Нишино, Х.; Ватанабэ, Х.; Икенага, О. (2007). «1 нм локальной точности CD для 45-нм-узловой фотомаски с низкочувствительным CAR для электронно-лучевого записывающего устройства». Фотомаска и технология литографических масок следующего поколения XIV . Труды SPIE. Т. 6607. С. 90–97.
  7. ^ Чен, Фредерик; Чен, Вэй-Су; Цай, Мин-Джинн; Ку, Цзы-Кун (2013). "Маска модуляции наклона профиля боковой стенки (SPIMM): модификация маски с ослабленным фазовым сдвигом для однократной экспозиции, двойной и многократной структуризации". Оптическая микролитография XXVI . Труды SPIE. Т. 8683. стр. 868311. doi :10.1117/12.2008886.
  8. ^ Значение функций рассеяния точек со стохастическим поведением в электронно-лучевой литографии
  9. ^ Ичимура, Кодзи; Ёсида, Кодзи; Чо, Хидеки; Хикичи, Рюго; Курихара, Масааки (2022). «Характеристики шаблонов мелких отверстий для наноимпринтной литографии в направлении 2 нм и далее». Технология фотошаблонов . Труды SPIE. Том 12293. С. 122930F. doi :10.1117/12.2643250.
  10. ^ Л. Фельдман; Дж. Майер (1986). Основы анализа поверхности и тонких пленок . Т. 54. Северная Голландия. С. 130–133. ISBN 978-0-444-00989-0.
  11. ^ Мейсон, Найджел Дж.; Дюжарден, Г.; Гербер, Г.; Джантурко, Ф.; Мэрк, Т.Д. (январь 2008 г.). «EURONanochem – Химический контроль в наномасштабе». Словенское исследовательское агентство . Европейский космический фонд. Архивировано из оригинала 20 июля 2011 г.
  12. ^ Stoffels, E; Stoffels, WW; Kroesen, GMW (2001). "Плазменная химия и поверхностные процессы отрицательных ионов". Plasma Sources Science and Technology . 10 (2): 311. Bibcode :2001PSST...10..311S. CiteSeerX 10.1.1.195.9811 . doi :10.1088/0963-0252/10/2/321. S2CID  250916447. 
  13. ^ Seah, MP; Dench, WA (1979). "Количественная электронная спектроскопия поверхностей: Стандартная база данных для неупругих средних свободных пробегов электронов в твердых телах". Анализ поверхности и интерфейса . 1 : 2. doi :10.1002/sia.740010103.
  14. ^ Танума, С.; Пауэлл, К.Дж.; Пенн, Д.Р. (1994). «Расчеты неупругих средних свободных пробегов электронов. V. Данные для 14 органических соединений в диапазоне 50–2000 эВ». Анализ поверхности и интерфейса . 21 (3): 165. doi :10.1002/sia.740210302.
  15. ^ ab Broers, AN; et al. (1996). «Электронно-лучевая литография — пределы разрешения». Microelectronic Engineering . 32 (1–4): 131–142. doi :10.1016/0167-9317(95)00368-1.
  16. ^ KW Lee (2009). "Генерация вторичных электронов в твердых телах, облученных электронным пучком: пределы разрешения для нанолитографии". J. Korean Phys. Soc . 55 (4): 1720. Bibcode : 2009JKPS...55.1720L. doi : 10.3938/jkps.55.1720. Архивировано из оригинала 22.07.2011.
  17. ^ SPIE Newsroom: Двойная экспозиция создает плотную дифракционную оптику высокого разрешения. Spie.org (2009-11-03). Получено 2011-08-27.
  18. ^ Dapor, M.; et al. (2010). «Моделирование Монте-Карло в области низких энергий вторичной электронной эмиссии полиметилметакрилата для сканирующей электронной микроскопии критических размеров». J. Micro/Nanolith. MEMS MOEMS . 9 (2): 023001. doi :10.1117/1.3373517.
  19. ^ PT Henderson; et al. (1999). "Транспорт заряда на большие расстояния в дуплексной ДНК: механизм прыжков, подобный полярону, с участием фононов". Proc. Natl. Acad. Sci. USA . 96 (15): 8353–8358. Bibcode :1999PNAS...96.8353H. doi : 10.1073/pnas.96.15.8353 . PMC 17521 . PMID  10411879. 
  20. ^ H. Seiler (1983). "Вторичная электронная эмиссия в сканирующем электронном микроскопе". J. Appl. Phys . 54 (11): R1–R18. Bibcode : 1983JAP....54R...1S. doi : 10.1063/1.332840.
  21. ^ Denbeaux, G.; Torok, J.; Del Re, R.; Herbol, H.; Das, S.; Bocharova, I.; Paolucci, A.; Ocola, LE; Ventrice Jr., C.; Lifshin, E.; Brainard, RL (2013). "Измерение роли вторичных электронов в экспонировании резиста в EUV-области спектра" (PDF) . Международный семинар по EUV-литографии .
  22. ^ Сложности пределов разрешения передовой литографии
  23. ^ Пределы разрешения
  24. ^ Чен, Фредерик (2023). Влияние электронного размытия на электронный луч и EUV-литографию.
  25. ^ Чандрамули, М.; Лю, Б.; Альберти, З.; Аббуд, Ф.; Хохлейтнер, Г.; Врочевски, В.; Кун, С.; Кляйн, К.; Платцгуммер, Э. (2022). «Требования к многолучевым маскам для усовершенствованного формирования EUV-шаблонов». Технология фотошаблонов . Труды SPIE. Том 12293. С. 122930O. doi : 10.1117/12.2645895.
  26. ^ JA Liddle; et al. (2003). «Требования к резисту и ограничения для наномасштабного электронного пучка». Mater. Res. Soc. Symp. Proc . 739 (19): 19–30.[ постоянная мертвая ссылка ]
  27. ^ Айвин, В. (2002). «Включение вторичных электронов и тормозного рентгеновского излучения в модель электронного пучка резиста». Микроэлектронная инженерия . 61–62: 343. doi :10.1016/S0167-9317(02)00531-2.
  28. ^ Ямазаки, Кэндзи; Курихара, Кэндзи; Ямагучи, Тору; Намацу, Хидео; Нагасе, Масао (1997). «Новый эффект близости, включающий разработку резиста в зависимости от шаблона в электронно-лучевой нанолитографии». Японский журнал прикладной физики . 36 (12B): 7552. Bibcode : 1997JaJAP..36.7552Y. doi : 10.1143/JJAP.36.7552. S2CID  250783039.
  29. ^ Renoud, R; Attard, C; Ganachaud, JP; Bartholome, S; Dubus, A (1998). "Влияние на выход вторичных электронов пространственного заряда, индуцированного в изолирующей мишени электронным пучком". Journal of Physics: Condensed Matter . 10 (26): 5821. Bibcode : 1998JPCM...10.5821R. doi : 10.1088/0953-8984/10/26/010. S2CID  250739239.
  30. ^ Дж. Н. Хельберт и др., Макромолекулы , т. 11, 1104 (1978).
  31. ^ Виланд, М.; де Бур, Г.; тен Берге, Г.; Джагер, Р.; ван де Пеут, Т.; Пейстер, Дж.; Слот, Э.; Стинбринк, С.; Типен, Т.; ван Вин, AHV; Камфербек, Би Джей (2009). «MAPPER: высокопроизводительная безмасочная литография». Альтернативные литографические технологии . Слушания SPIE. Том. 7271. С. 72710О. дои : 10.1117/12.814025.
  32. ^ Чен, Фредерик; Чен, Вэй-Су; Цай, Мин-Джинн; Ку, Цзы-Кун (2012). «Дополнительные экспозиции полярности для экономически эффективной резки линий в литографии с множественным шаблоном». Оптическая микролитография XXV . Труды SPIE. Т. 8326. С. 83262L. doi :10.1117/12.912800.
  33. ^ Круит, П.; Стенбринк, С.; Ягер, Р.; Виланд, М. (2004). «Оптимальная доза для однородности CD, ограниченной дробовым шумом в электронно-лучевой литографии». Журнал вакуумной науки и технологии B. 22 ( 6): 2948–55. Bibcode : 2004JVSTB..22.2948K. doi : 10.1116/1.1821577.
  34. ^ Бермудес, В. М. (1999). «Воздействие низкоэнергетического электронного пучка на резистивные пленки полиметилметакрилата». Журнал вакуумной науки и технологии B. 17 ( 6): 2512. Bibcode : 1999JVSTB..17.2512B. doi : 10.1116/1.591134.
  35. ^ Х. Янг и др. , Труды 1-й Международной конференции IEEE по нано/микроинженерным и молекулярным системам, стр. 391–394 (2006).
  36. ^ Камминг, DRS; Томс, S.; Бомонт, SP; Уивер, JMR (1996). «Изготовление 3 нм проводов с использованием литографии с электронным пучком 100 кэВ и поли(метилметакрилатного) резиста». Applied Physics Letters . 68 (3): 322. Bibcode : 1996ApPhL..68..322C. doi : 10.1063/1.116073.
  37. ^ Манфринато, Витор Р.; Чжан, Лихуа; Су, Донг; Дуань, Хуэйгао; Хоббс, Ричард Г.; Стах, Эрик А .; Берггрен, Карл К. (2013). «Пределы разрешения электронно-лучевой литографии в направлении атомного масштаба» (PDF) . Nano Lett . 13 (4): 1555–1558. Bibcode : 2013NanoL..13.1555M. doi : 10.1021/nl304715p. hdl : 1721.1/92829 . PMID  23488936. S2CID  1060983.
  38. ^ Шафаг, Реза; Вастессон, Александр; Го, Вэйджин; ван дер Вейнгаарт, Воутер; Харальдссон, Томми (2018). «Электронно-лучевое наноструктурирование и биофункционализация тиол-енового резиста прямым щелчком». АСУ Нано . 12 (10): 9940–6. doi : 10.1021/acsnano.8b03709. PMID  30212184. S2CID  52271550.
  39. ^ Marrian, CRK (1992). «Электронно-лучевая литография с использованием сканирующего туннельного микроскопа». Журнал вакуумной науки и технологии . 10 (B): 2877–81. Bibcode : 1992JVSTB..10.2877M. doi : 10.1116/1.585978.
  40. ^ Майер, ТМ; и др. (1996). «Характеристики полевой эмиссии сканирующего туннельного микроскопа для нанолитографии». Журнал вакуумной науки и технологии . 14 (B): 2438–44. Bibcode : 1996JVSTB..14.2438M. doi : 10.1116/1.588751.
  41. ^ Хордон, Л. С. и др. (1993). «Пределы низкоэнергетической электронной оптики». Журнал вакуумной науки и технологии . 11 (B): 2299–2303. Bibcode : 1993JVSTB..11.2299H. doi : 10.1116/1.586894.
  42. ^ Эгертон, РФ и др. (2004). «Радиационные повреждения в просвечивающем электронном микроскопе и сканирующем электронном микроскопе». Micron . 35 (6): 399–409. doi :10.1016/j.micron.2004.02.003. PMID  15120123.
  43. ^ Multibeam Corporation. Multibeamcorp.com (2011-03-04). Получено 2011-08-27.
  44. ^ Mapper Lithography Архивировано 20 декабря 2016 г. на Wayback Machine . Mapper Lithography (18 января 2010 г.). Получено 27 августа 2011 г.
  45. ^ IMS Nanofabrications. IMS Nanofabrication(2011-12-07). Получено 2019-02-28.
  46. ^ IMS Nanofabrications. IMS Nanofabrication(2011-12-07). Получено 2019-02-28.