stringtranslate.com

Тактовый сигнал

Сигнал часов и легенда

В электронике и особенно в синхронных цифровых схемах тактовый сигнал (исторически также известный как логический такт ) [1] представляет собой электронный логический сигнал ( напряжение или ток ), который колеблется между высоким и низким состоянием с постоянной частотой и используется как метроном для синхронизации действий цифровых схем . В синхронной логической схеме, наиболее распространенном типе цифровой схемы, тактовый сигнал применяется ко всем запоминающим устройствам, триггерам и защелкам и заставляет их все изменять состояние одновременно, предотвращая условия гонки .

Тактовый сигнал вырабатывается электронным осциллятором , называемым генератором тактовых импульсов . Наиболее распространенный тактовый сигнал имеет форму прямоугольной волны с 50%-ным рабочим циклом . Схемы, использующие тактовый сигнал для синхронизации, могут активироваться либо на переднем фронте, либо на заднем фронте, либо, в случае двойной скорости передачи данных , как на переднем, так и на заднем фронте тактового цикла.

Цифровые схемы

Большинство интегральных схем (ИС) достаточной сложности используют тактовый сигнал для синхронизации различных частей схемы, циклически повторяясь со скоростью, меньшей, чем наихудшие внутренние задержки распространения . В некоторых случаях для выполнения предсказуемого действия требуется более одного тактового цикла. По мере того, как ИС становятся более сложными, проблема подачи точных и синхронизированных часов на все схемы становится все более сложной. Ярким примером таких сложных чипов является микропроцессор , центральный компонент современных компьютеров, который использует тактовый сигнал от кварцевого генератора . Единственным исключением являются асинхронные схемы, такие как асинхронные ЦП .

Тактовый сигнал также может быть стробирован, то есть объединен с управляющим сигналом, который включает или выключает тактовый сигнал для определенной части схемы. Этот метод часто используется для экономии энергии путем эффективного отключения частей цифровой схемы, когда они не используются, но это приводит к увеличению сложности временного анализа.

Однофазные часы

Большинство современных синхронных схем используют только «однофазный тактовый сигнал» — другими словами, все тактовые сигналы (фактически) передаются по одному проводу.

Двухфазные часы

В синхронных схемах «двухфазный тактовый сигнал» относится к тактовым сигналам, распределенным по 2 проводам, каждый из которых имеет неперекрывающиеся импульсы. Традиционно один провод называется «фаза 1» или «φ1» ( фи 1), другой провод передает сигнал «фаза 2» или «φ2». [2] [3] [4] [5] Поскольку две фазы гарантированно не перекрываются, для хранения информации о состоянии могут использоваться стробированные защелки , а не триггеры с фронтом, при условии, что входы защелок на одной фазе зависят только от выходов защелок на другой фазе. Поскольку стробированная защелка использует только четыре затвора против шести затворов для триггера с фронтом, двухфазный тактовый сигнал может привести к конструкции с меньшим общим количеством затворов, но обычно с некоторым ухудшением сложности конструкции и производительности.

Микросхемы на основе металл-оксид-полупроводника (МОП) обычно использовали двойные тактовые сигналы (двухфазные тактовые сигналы) в 1970-х годах. Они генерировались извне для микропроцессоров Motorola 6800 и Intel 8080. [6] Следующее поколение микропроцессоров включило генерацию тактовых сигналов на чипе. 8080 использует тактовую частоту 2 МГц, но производительность обработки аналогична 1 МГц 6800. 8080 требует больше тактовых циклов для выполнения инструкции процессора. Благодаря своей динамической логике , 6800 имеет минимальную тактовую частоту 100 кГц, а 8080 имеет минимальную тактовую частоту 500 кГц. Более скоростные версии обоих микропроцессоров были выпущены к 1976 году. [7]

Для 6501 требуется внешний 2-фазный генератор тактовых импульсов. MOS Technology 6502 использует ту же 2-фазную логику внутри, но также включает в себя 2-фазный генератор тактовых импульсов на кристалле, поэтому ему требуется только однофазный вход тактовых импульсов, что упрощает проектирование системы.

4-фазные часы

Некоторые ранние интегральные схемы использовали четырехфазную логику , требующую четырехфазного тактового входа, состоящего из четырех отдельных, неперекрывающихся тактовых сигналов. [8] Это было особенно распространено среди ранних микропроцессоров, таких как National Semiconductor IMP-16 , Texas Instruments TMS9900 и набор микросхем Western Digital MCP-1600 , используемый в DEC LSI-11 .

Четырехфазные часы редко использовались в новых процессорах CMOS, таких как микропроцессор DEC WRL MultiTitan. [9] и в технологии Fast14 компании Intrinsity . Большинство современных микропроцессоров и микроконтроллеров используют однофазные часы.

Умножитель тактовой частоты

Многие современные микрокомпьютеры используют « умножитель тактовой частоты », который умножает внешнюю тактовую частоту с более низкой частотой до соответствующей тактовой частоты микропроцессора. Это позволяет ЦП работать на гораздо более высокой частоте, чем остальная часть компьютера, что обеспечивает прирост производительности в ситуациях, когда ЦП не нужно ждать внешнего фактора (например, памяти или ввода/вывода ).

Динамическое изменение частоты

Подавляющее большинство цифровых устройств не требуют часов с фиксированной, постоянной частотой. Пока соблюдаются минимальный и максимальный периоды часов, время между фронтами часов может значительно варьироваться от одного фронта к другому и обратно. Такие цифровые устройства работают так же хорошо с генератором часов, который динамически изменяет свою частоту, например, генерация часов с расширенным спектром , динамическое масштабирование частоты и т. д. Устройства, использующие статическую логику, даже не имеют максимального периода часов (или, другими словами, минимальной тактовой частоты); такие устройства можно замедлять и приостанавливать на неопределенное время, а затем возобновлять работу на полной тактовой частоте в любое время позже.

Другие схемы

Некоторые чувствительные схемы смешанных сигналов , такие как прецизионные аналого-цифровые преобразователи , используют синусоидальные волны вместо прямоугольных волн в качестве тактовых сигналов, поскольку прямоугольные волны содержат высокочастотные гармоники , которые могут мешать аналоговым схемам и вызывать шум . Такие синусоидальные тактовые сигналы часто являются дифференциальными сигналами , поскольку этот тип сигнала имеет вдвое большую скорость нарастания и, следовательно, вдвое меньшую временную неопределенность, чем несимметричный сигнал с тем же диапазоном напряжения. Дифференциальные сигналы излучают менее сильно, чем одиночная линия. В качестве альтернативы можно использовать одиночную линию, экранированную линиями питания и заземления.

В схемах КМОП емкости затвора заряжаются и разряжаются непрерывно. Конденсатор не рассеивает энергию, но энергия тратится впустую в управляющих транзисторах. В обратимых вычислениях для хранения этой энергии и снижения потерь энергии могут использоваться индукторы , но они, как правило, довольно большие. В качестве альтернативы, используя синусоидальные часы, затворы передачи КМОП и энергосберегающие методы, можно снизить требования к питанию. [ необходима цитата ]

Распределение

Наиболее эффективным способом подачи тактового сигнала в каждую часть чипа, которая в нем нуждается, с наименьшим перекосом , является металлическая сетка. В большом микропроцессоре мощность, используемая для подачи тактового сигнала, может превышать 30% от общей мощности, используемой всем чипом. Вся структура с затворами на концах и всеми усилителями между ними должна загружаться и разгружаться в каждом цикле. [10] [11] Для экономии энергии стробирование тактового сигнала временно отключает часть дерева.

Сеть распределения тактовых сигналов (или дерево тактовых сигналов , когда эта сеть образует дерево, например, H-дерево ) распределяет тактовые сигналы из общей точки по всем элементам, которым они нужны. Поскольку эта функция жизненно важна для работы синхронной системы, большое внимание было уделено характеристикам этих тактовых сигналов и электрическим сетям, используемым при их распределении. Тактовые сигналы часто рассматриваются как простые сигналы управления; однако эти сигналы имеют некоторые очень специальные характеристики и атрибуты.

Тактовые сигналы обычно загружаются с наибольшим разветвлением и работают на самых высоких скоростях любого сигнала в синхронной системе. Поскольку сигналы данных снабжены временной привязкой тактовыми сигналами, формы тактовых сигналов должны быть особенно чистыми и резкими. Кроме того, эти тактовые сигналы особенно подвержены влиянию масштабирования технологий (см. закон Мура ), поскольку длинные глобальные соединительные линии становятся значительно более резистивными по мере уменьшения размеров линий. Это повышенное сопротивление линии является одной из основных причин увеличения значимости распределения тактовых сигналов для синхронной производительности. Наконец, контроль любых различий и неопределенности во времени прибытия тактовых сигналов может серьезно ограничить максимальную производительность всей системы и создать катастрофические условия гонки , в которых неверный сигнал данных может защелкнуться в регистре.

Большинство синхронных цифровых систем состоят из каскадных банков последовательных регистров с комбинационной логикой между каждым набором регистров. Функциональные требования цифровой системы удовлетворяются логическими ступенями. Каждая логическая ступень вносит задержку, которая влияет на временные характеристики, а временные характеристики цифровой конструкции можно оценить относительно временных требований с помощью временного анализа. Часто необходимо уделить особое внимание выполнению временных требований. Например, глобальные требования к производительности и локальные временные требования могут быть выполнены путем тщательной вставки конвейерных регистров в равномерно разнесенные временные окна для удовлетворения критических ограничений наихудшего случая по времени . Правильная конструкция сети распределения часов помогает гарантировать, что критические временные требования будут выполнены и что не будет никаких условий гонки (см. также перекос часов ).

Компоненты задержки, составляющие общую синхронную систему, состоят из следующих трех отдельных подсистем: элементов хранения данных, логических элементов, а также тактовой схемы и распределительной сети.

В настоящее время разрабатываются новые структуры для улучшения этих проблем и предоставления эффективных решений. Важные области исследований включают методы резонансного тактирования («резонансная тактовая сетка»), [12] [13] [14] [15] оптические соединения на кристалле и локальные методологии синхронизации .

Смотрите также

Ссылки

  1. ^ Микросхема компьютера FM1600B Ferranti Digital Systems (PDF) . Бракнелл, Беркшир, Великобритания: Ferranti Limited , Отдел цифровых систем. Октябрь 1968 [сентябрь 1968]. Список DSD 68/6. Архивировано (PDF) из оригинала 2020-05-19 . Получено 2020-05-19 .
  2. Двухфазные часы. Архивировано 9 ноября 2007 г. на Wayback Machine.
  3. ^ Двухфазный неперекрывающийся тактовый генератор, Tams-www.informatik.uni-hamburg.de, архивировано из оригинала 2011-12-26 , извлечено 2012-01-08
  4. ^ Концепции цифровой обработки изображений - Двухфазная синхронизация ПЗС, Micro.magnet.fsu.edu , получено 08.01.2012
  5. ^ Ячейка cgf104: Двухфазный неперекрывающийся тактовый генератор, Hpc.msstate.edu, заархивировано из оригинала 2012-02-08 , извлечено 2012-01-08
  6. ^ «Как управлять микропроцессором». Электроника . 49 (8). Нью-Йорк: McGraw-Hill: 159. 15 апреля 1976 г.Отделение компонентов Motorola продавало гибридные ИС, включавшие кварцевый генератор. Эти ИС производили двухфазные неперекрывающиеся сигналы, необходимые 6800 и 8080. Позднее Intel выпустила тактовый генератор 8224, а Motorola — MC6875. Intel 8085 и Motorola 6802 включают эту схему в микропроцессорный чип.
  7. ^ "Intel's Higher Speed ​​8080 μP" (PDF) . Microcomputer Digest . 2 (3). Купертино, Калифорния: Microcomputer Associates: 7. Сентябрь 1975. Архивировано из оригинала (PDF) 2019-01-23 . Получено 2011-01-24 .
  8. ^ Концепции в области цифровой обработки изображений - Четырехфазная синхронизация ПЗС, Micro.magnet.fsu.edu , получено 08.01.2012
  9. ^ Jouppi, NP ; Tang, JF (1989). "20-MIPS устойчивый 32-битный CMOS-микропроцессор с высоким отношением устойчивой к пиковой производительности". IEEE Journal of Solid-State Circuits . 24 (5): 1348–59. Bibcode :1989IJSSC..24.1348J. doi :10.1109/JSSC.1989.572612.
  10. ^ Ананд Лал Шимпи (2008), Архитектура Atom от Intel: Путешествие начинается
  11. ^ Пол В. Болотофф (2007), Альфа: История в фактах и ​​комментариях, архивировано из оригинала 2012-02-18 , извлечено 2012-01-03 , мощность, потребляемая подсистемой часов EV6, составляла около 32% от общей мощности ядра. Для сравнения, она составляла около 25% для EV56, около 37% для EV5 и около 40% для EV4.
  12. ^ Чан, С.С.; Шепард, К.Л.; Рестл, П.Дж. (2005). «Глобальные распределения тактовой частоты с равномерной фазой и равномерной амплитудой резонансной нагрузки». Журнал IEEE по твердотельным схемам . 40 (1): 102. Bibcode : 2005IJSSC..40..102C. doi : 10.1109/JSSC.2004.838005. S2CID  16239014.
  13. ^ Дэвид Шан и др. «Резонансная тактовая мега-сетка для IBM z13». 2015.
  14. ^ Улун Лю; Гоцин Чен; Ю Ван; Хуачжун Ян. «Моделирование и оптимизация сетки резонансных часов малой мощности». 2015.
  15. ^ «Синтез дерева часов».

Дальнейшее чтение


Адаптировано из Эби Фридмана. Архивировано 12 августа 2014 г. в колонке Wayback Machine в электронном информационном бюллетене ACM SIGDA Игоря Маркова.
Оригинальный текст доступен по адресу https://web.archive.org/web/20100711135550/http://www.sigda.org/newsletter/2005/eNews_051201.html.