stringtranslate.com

Сигнал часов

Сигнал часов и легенда

В электронике и особенно в синхронных цифровых схемах тактовый сигнал (исторически также известный как логический ритм [1] ) представляет собой электронный логический сигнал ( напряжение или ток ), который колеблется между высоким и низким состоянием с постоянной частотой и используется как метроном для синхронизации действий цифровых схем . В синхронной логической схеме, наиболее распространенном типе цифровых схем, тактовый сигнал подается на все устройства хранения данных, триггеры и защелки и заставляет их все одновременно изменять состояние, предотвращая состояние гонки .

Тактовый сигнал генерируется электронным генератором, называемым тактовым генератором . Наиболее распространенный тактовый сигнал имеет форму прямоугольной волны с коэффициентом заполнения 50% . Схемы, использующие тактовый сигнал для синхронизации, могут стать активными либо по нарастающему фронту, либо по спадающему фронту, либо, в случае двойной скорости передачи данных , как по нарастающему, так и по спадающему фронту тактового цикла.

Цифровые схемы

Большинство интегральных схем (ИС) достаточной сложности используют тактовый сигнал для синхронизации различных частей схемы, циклически изменяясь со скоростью, меньшей, чем внутренние задержки распространения в худшем случае . В некоторых случаях для выполнения предсказуемого действия требуется более одного такта. Поскольку микросхемы становятся более сложными, проблема обеспечения точных и синхронизированных тактовых импульсов для всех схем становится все более сложной. Выдающимся примером таких сложных микросхем является микропроцессор , центральный компонент современных компьютеров, работающий на тактовой частоте кварцевого генератора . Единственным исключением являются асинхронные схемы , такие как асинхронные процессоры .

Тактовый сигнал также может быть стробируемым, то есть объединенным с управляющим сигналом, который включает или отключает тактовый сигнал для определенной части схемы. Этот метод часто используется для экономии энергии за счет эффективного отключения частей цифровой схемы, когда они не используются, но достигается за счет увеличения сложности временного анализа.

Однофазные часы

В большинстве современных синхронных схем используется только «однофазный тактовый сигнал» — другими словами, все тактовые сигналы (эффективно) передаются по одному проводу.

Двухфазные часы

В синхронных схемах «двухфазные часы» относятся к тактовым сигналам, распределенным по 2 проводам, каждый с неперекрывающимися импульсами. Традиционно один провод называется «фаза 1» или «φ1» ( фи 1), другой провод передает сигнал «фаза 2» или «φ2». [2] [3] [4] [5] Поскольку две фазы гарантированно не перекрываются, для хранения информации о состоянии можно использовать вентильные защелки , а не триггеры, запускаемые по фронту, при условии, что входы защелок фиксируются только на одной фазе. зависят от выходов защелок на другой фазе. Поскольку в вентильной защелке используются только четыре вентиля по сравнению с шестью вентилями для триггера, запускаемого по фронту, двухфазный тактовый сигнал может привести к созданию конструкции с меньшим общим количеством вентилей, но обычно с некоторым ухудшением сложности конструкции и производительности.

В 1970-х годах в металлооксидно-полупроводниковых (МОП) ИС обычно использовались двойные тактовые сигналы (двухфазные тактовые сигналы). Они были созданы извне для микропроцессоров Motorola 6800 и Intel 8080 . [6] Следующее поколение микропроцессоров включало встроенную систему генерации часов. 8080 использует тактовую частоту 2 МГц, но производительность обработки аналогична 6800 с частотой 1 МГц. 8080 требует больше тактовых циклов для выполнения инструкции процессора. Минимальная тактовая частота 6800 составляет 100 кГц, а минимальная тактовая частота 8080 — 500 кГц. К 1976 году были выпущены более высокоскоростные версии обоих микропроцессоров. [7]

Для модели 6501 требуется внешний двухфазный тактовый генератор. Технология MOS 6502 использует ту же двухфазную логику внутри, но также включает в себя встроенный двухфазный тактовый генератор, поэтому ей нужен только однофазный тактовый вход, что упрощает конструкцию системы.

4-фазные часы

Некоторые ранние интегральные схемы используют четырехфазную логику , требующую четырехфазного тактового входа, состоящего из четырех отдельных неперекрывающихся тактовых сигналов. [8] Это было особенно распространено среди ранних микропроцессоров, таких как National Semiconductor IMP-16 , Texas Instruments TMS9900 и чипсета Western Digital WD16, используемого в DEC LSI-11.

Четырехфазные тактовые сигналы лишь изредка использовались в новых КМОП-процессорах, таких как микропроцессор DEC WRL MultiTitan. [9] и в технологии Fast14 компании Intrinsity . Большинство современных микропроцессоров и микроконтроллеров используют однофазные часы.

Множитель часов

Многие современные микрокомпьютеры используют « умножитель тактовой частоты », который умножает внешнюю тактовую частоту более низкой частоты на соответствующую тактовую частоту микропроцессора. Это позволяет процессору работать на гораздо более высокой частоте, чем остальная часть компьютера, что обеспечивает прирост производительности в ситуациях, когда процессору не нужно ждать внешнего фактора (например, памяти или ввода/вывода ).

Динамическое изменение частоты

Подавляющему большинству цифровых устройств не требуется тактовый сигнал с фиксированной постоянной частотой. Пока соблюдаются минимальный и максимальный периоды тактового сигнала, время между фронтами тактового сигнала может широко варьироваться от одного фронта к другому и обратно. Такие цифровые устройства так же хорошо работают с тактовым генератором, который динамически изменяет свою частоту, например, генерация тактового сигнала с расширенным спектром , динамическое масштабирование частоты и т. д. Устройства, использующие статическую логику , не имеют даже максимального тактового периода (или, другими словами, минимальная тактовая частота); такие устройства можно замедлять и приостанавливать на неопределенный срок, а затем в любой момент возобновить работу на полной тактовой частоте.

Другие схемы

Некоторые чувствительные схемы смешанных сигналов , такие как прецизионные аналого-цифровые преобразователи , в качестве тактовых сигналов используют синусоидальные волны , а не прямоугольные, поскольку прямоугольные волны содержат высокочастотные гармоники , которые могут мешать аналоговой схеме и вызывать шум . Такие синусоидальные часы часто являются дифференциальными сигналами , поскольку этот тип сигнала имеет вдвое большую скорость нарастания и, следовательно, половину неопределенности синхронизации, чем несимметричный сигнал с тем же диапазоном напряжения. Дифференциальные сигналы излучают менее сильно, чем одиночная линия. В качестве альтернативы можно использовать одну линию, экранированную линиями питания и заземления.

В схемах КМОП емкости затворов постоянно заряжаются и разряжаются. Конденсатор не рассеивает энергию, но энергия тратится в управляющих транзисторах. В обратимых вычислениях для хранения этой энергии и уменьшения потерь энергии можно использовать катушки индуктивности , но они, как правило, довольно велики. Альтернативно, используя синусоидальный тактовый сигнал, передающие элементы КМОП и методы энергосбережения, можно снизить требования к мощности. [ нужна цитата ]

Распределение

Самый эффективный способ донести тактовый сигнал до каждой части микросхемы, которая в нем нуждается, с наименьшими искажениями — это металлическая сетка. В большом микропроцессоре мощность, используемая для управления тактовым сигналом, может составлять более 30% от общей мощности, используемой всем чипом. Вся конструкция с вентилями на концах и всеми усилителями между ними должна загружаться и разгружаться в каждом цикле. [10] [11] Для экономии энергии часовой шлюз временно отключает часть дерева.

Сеть распределения тактового сигнала (или дерево тактового сигнала , когда эта сеть образует дерево, такое как H-дерево ) распределяет тактовый сигнал(ы) из общей точки всем элементам, которые в нем нуждаются. Поскольку эта функция жизненно важна для работы синхронной системы, большое внимание было уделено характеристикам этих тактовых сигналов и электрическим сетям, используемым для их распределения. Тактовые сигналы часто рассматриваются как простые сигналы управления; однако эти сигналы имеют некоторые особые характеристики и атрибуты.

Синхронизирующие сигналы обычно нагружаются с наибольшим разветвлением и работают на самых высоких скоростях по сравнению с любым сигналом в синхронной системе. Поскольку сигналы данных снабжены временной привязкой с помощью тактовых сигналов, формы тактовых сигналов должны быть особенно чистыми и четкими. Кроме того, на эти тактовые сигналы особенно влияет технологическое масштабирование (см. закон Мура ), поскольку длинные глобальные межсоединения становятся значительно более резистивными по мере уменьшения размеров линий. Это повышенное сопротивление линии является одной из основных причин растущего значения распределения тактовой частоты для синхронной производительности. Наконец, контроль любых различий и неопределенности во времени поступления тактовых сигналов может серьезно ограничить максимальную производительность всей системы и создать катастрофические условия гонки , в которых неверный сигнал данных может зафиксироваться в регистре.

Большинство синхронных цифровых систем состоят из каскадных банков последовательных регистров с комбинационной логикой между каждым набором регистров. Функциональные требования цифровой системы удовлетворяются логическими каскадами. Каждый логический этап вносит задержку, которая влияет на временные характеристики, а временные характеристики цифрового проекта можно оценить относительно требований к временным характеристикам с помощью временного анализа. Часто необходимо уделять особое внимание соблюдению требований по срокам. Например, требования к глобальной производительности и локальному времени могут быть удовлетворены путем тщательной вставки регистров конвейера в равноотстоящие временные окна для удовлетворения критических ограничений времени наихудшего случая . Правильная конструкция сети распределения тактовых импульсов помогает гарантировать соблюдение критических требований к синхронизации и отсутствие условий гонки (см. также рассогласование тактовых импульсов ).

Компоненты задержки, составляющие общую синхронную систему, состоят из следующих трех отдельных подсистем: элементов памяти, логических элементов, а также схемы синхронизации и распределительной сети.

В настоящее время разрабатываются новые структуры для решения этих проблем и обеспечения эффективных решений. Важные области исследований включают методы резонансной синхронизации («резонансная тактовая сетка»), [12] [13] [14] [15] внутрикристальные оптические межсоединения и методологии локальной синхронизации .

Смотрите также

Рекомендации

  1. ^ FM1600B Микросхемный компьютер Ferranti Digital Systems (PDF) . Брэкнелл, Беркшир, Великобритания: Ferranti Limited , отдел цифровых систем. Октябрь 1968 г. [сентябрь 1968 г.]. Список ДСД 68/6. Архивировано (PDF) из оригинала 19 мая 2020 г. Проверено 19 мая 2020 г.
  2. Двухфазные часы. Архивировано 9 ноября 2007 г., в Wayback Machine.
  3. ^ Двухфазный неперекрывающийся тактовый генератор, Tams-www.informatik.uni-hamburg.de, заархивировано из оригинала 26 декабря 2011 г. , получено 8 января 2012 г.
  4. ^ Концепции цифровой обработки изображений - двухфазная синхронизация ПЗС, Micro.magnet.fsu.edu , получено 8 января 2012 г.
  5. ^ Ячейка cgf104: Двухфазный неперекрывающийся тактовый генератор, Hpc.msstate.edu, заархивировано из оригинала 8 февраля 2012 г. , получено 8 января 2012 г.
  6. ^ «Как управлять микропроцессором» . Электроника . Нью-Йорк: МакГроу-Хилл. 49 (8): 159. 15 апреля 1976 г.Отдел компонентной продукции Motorola продавал гибридные микросхемы, включающие кварцевый генератор. Эти микросхемы создавали двухфазные неперекрывающиеся сигналы, необходимые для 6800 и 8080. Позже Intel выпустила тактовый генератор 8224, а Motorola — MC6875. Intel 8085 и Motorola 6802 включают эту схему в микропроцессорный чип.
  7. ^ «Более высокая скорость Intel 8080 мкП» (PDF) . Микрокомпьютерный дайджест . Купертино, Калифорния: Microcomputer Associates. 2 (3): 7 сентября 1975 г. Архивировано из оригинала (PDF) 23 января 2019 г. Проверено 24 января 2011 г.
  8. ^ Концепции в области цифровых изображений - Четырехфазная синхронизация ПЗС, Micro.magnet.fsu.edu , получено 8 января 2012 г.
  9. ^ Джуппи, Северная Каролина ; Тан, JF (1989). «32-битный CMOS-микропроцессор с производительностью 20 MIPS и высоким соотношением устойчивой и пиковой производительности». Журнал IEEE твердотельных схем . 24 (5): 1348–59. Бибкод : 1989IJSSC..24.1348J. дои : 10.1109/JSSC.1989.572612.
  10. ^ Ананд Лал Шимпи (2008), Архитектура Intel Atom: путешествие начинается
  11. ^ Пол В. Болотофф (2007), Альфа: История в фактах и ​​комментариях, заархивировано из оригинала 18 февраля 2012 г. , получено 3 января 2012 г. , мощность, потребляемая подсистемой часов EV6, составляла около 32% от общая мощность ядра. Для сравнения: у EV56 он составил около 25%, у EV5 — около 37% и у EV4 — около 40%.
  12. ^ Чан, Южная Каролина; Шепард, КЛ; Рестл, Пи Джей (2005). «Распределение глобальных часов резонансной нагрузки с равномерной фазой и равномерной амплитудой». Журнал IEEE твердотельных схем . 40 (1): 102. Бибкод : 2005IJSSC..40..102C. doi :10.1109/JSSC.2004.838005. S2CID  16239014.
  13. ^ Дэвид Шан и др. ал. «Мегасетка резонансных часов для IBM z13». 2015.
  14. ^ Улун Лю; Гоцин Чен; Ю Ван; Хуачжун Ян. «Моделирование и оптимизация сетки резонансных часов малой мощности». 2015.
  15. ^ «Синтез дерева часов».

дальнейшее чтение


Адаптировано из Эби Фридмана. Архивировано 12 августа 2014 г. в колонке Wayback Machine в электронном информационном бюллетене ACM SIGDA. Автор: Игорь Марков.
Оригинальный текст доступен по адресу https://web.archive.org/web/20100711135550/http://. www.sigda.org/newsletter/2005/eNews_051201.html