stringtranslate.com

Ядро интеллектуальной собственности полупроводников

В электронном проектировании ядро ​​интеллектуальной собственности полупроводника ( ядро SIP ), ядро ​​IP или блок IP — это повторно используемая единица логики, ячейки или компоновки интегральной схемы , которая является интеллектуальной собственностью одной стороны. Ядра IP могут быть лицензированы другой стороне или принадлежать и использоваться одной стороной. Термин происходит от лицензирования патента или авторских прав на исходный код , которые существуют в проекте. Разработчики систем на кристалле (SoC), специализированных интегральных схем (ASIC) и систем логики программируемой пользователем вентильной матрицы (FPGA) могут использовать ядра IP в качестве строительных блоков.

История

Лицензирование и использование IP-ядер в разработке микросхем стало обычной практикой в ​​1990-х годах. [1] На рынке конкурировало множество лицензиаров и производителей . В 2013 году наиболее широко лицензированные IP-ядра были у Arm Holdings (43,2% доли рынка), Synopsys Inc. (13,9% доли рынка), Imagination Technologies (9% доли рынка) и Cadence Design Systems (5,1% доли рынка). [2]

Типы IP-ядер

Использование IP-ядра в проектировании чипа сопоставимо с использованием библиотеки для компьютерного программирования или дискретного компонента интегральной схемы для проектирования печатной платы . Каждый из них представляет собой повторно используемый компонент логики проектирования с определенным интерфейсом и поведением, проверенным его создателем и интегрированным в более крупный проект.

Мягкие ядра

IP-ядра обычно предлагаются как синтезируемые RTL на языке описания оборудования , таком как Verilog или VHDL . Они аналогичны языкам низкого уровня, таким как C, в области компьютерного программирования. IP-ядра, поставляемые разработчикам микросхем в виде RTL, позволяют разработчикам микросхем изменять проекты на функциональном уровне, хотя многие поставщики IP не предоставляют никаких гарантий или поддержки для измененных проектов. [ необходима цитата ]

IP-ядра также иногда предлагаются как общие списки соединений на уровне вентилей . Список соединений — это представление логической функции IP в виде булевой алгебры, реализованной как общие вентили или стандартные ячейки , специфичные для процесса . IP-ядро, реализованное как общие вентили, может быть скомпилировано для любой технологической технологии. Список соединений на уровне вентилей аналогичен листингу кода ассемблера в области компьютерного программирования. Список соединений обеспечивает поставщику IP-ядра разумную защиту от обратного проектирования. См. также: защита проектирования топологии интегральной схемы .

Оба ядра, и ядра списков соединений, и синтезируемые ядра, называются программными ядрами, поскольку оба допускают процесс проектирования синтеза , размещения и маршрутизации ( SPR ).

Твердые ядра

Hard cores (или hard macros) — это аналоговые или цифровые IP-ядра, функции которых не могут быть существенно изменены разработчиками чипов. Они обычно определяются как физическое описание более низкого уровня, которое является специфическим для конкретной технологии процесса. Hard cores обычно предлагают лучшую предсказуемость производительности синхронизации чипа и области для их конкретной технологии. [ необходима цитата ]

Аналоговая и смешанная логика обычно распространяется как аппаратные ядра. Следовательно, аналоговые IP ( SerDes , PLL , DAC , ADC , PHY и т. д.) предоставляются производителям чипов в формате транзисторной компоновки (например, GDSII ). Цифровые IP-ядра иногда также предлагаются в формате компоновки.

Низкоуровневые схемы транзисторов должны подчиняться правилам проектирования процесса целевого литейного завода . Поэтому жесткие ядра, поставляемые для процесса одного литейного завода, не могут быть легко перенесены на другой процесс или литейный завод. Операторы торговых литейных заводов (такие как IBM , Fujitsu , Samsung , TI и т. д.) предлагают различные функции IP-макро, созданные для собственных литейных процессов, помогая обеспечить привязку к заказчику .

Источники IP-ядер

Лицензированная функциональность

Многие из самых известных IP-ядер представляют собой программные микропроцессорные конструкции. Их наборы инструкций варьируются от небольших 8-битных процессоров, таких как 8051 и PIC , до 32-битных и 64-битных процессоров, таких как архитектуры ARM или RISC-V . Такие процессоры образуют «мозг» многих встраиваемых систем . Обычно это наборы инструкций RISC, а не наборы инструкций CISC, такие как x86 , потому что требуется меньше логики. Поэтому конструкции меньше. Кроме того, лидеры x86 Intel и AMD усиленно защищают интеллектуальную собственность своих процессорных конструкций и не используют эту бизнес-модель для своих линеек микропроцессоров x86-64 .

IP-ядра также лицензируются для различных периферийных контроллеров, таких как PCI Express , SDRAM , Ethernet , LCD-дисплей , AC'97 audio и USB . Многие из этих интерфейсов требуют как цифровой логики, так и аналоговых IP-ядер для управления и приема высокоскоростных, высоковольтных или высокоимпедансных сигналов за пределами чипа.

«Жестко зашитые» (в отличие от программно-программируемых микропроцессоров, описанных выше) цифровые логические IP-ядра также лицензируются для фиксированных функций, таких как декодирование аудио MP3 , 3D GPU , цифровое кодирование/декодирование видео и другие функции цифровой обработки сигналов, такие как БПФ , ДКП или кодирование Витерби .

Поставщики

Разработчики и лицензиары IP-ядер варьируются по размеру от отдельных лиц до многомиллиардных корпораций. Разработчики, а также их клиенты, производящие чипы, находятся по всему миру.

Silicon Intellectual Property ( SIP , Silicon IP ) — это бизнес-модель для компании по производству полупроводников, в которой она лицензирует свою технологию клиенту в качестве интеллектуальной собственности . Компания с такой бизнес-моделью является компанией по производству полупроводников без собственных производственных мощностей , которая не предоставляет физические чипы своим клиентам, а просто облегчает разработку чипов клиентом, предлагая определенные функциональные блоки. Обычно клиентами являются компании по производству полупроводников или разработчики модулей с собственной разработкой полупроводников. Компания, желающая изготовить сложное устройство, может лицензировать права на использование хорошо проверенных функциональных блоков другой компании, таких как микропроцессор , вместо разработки собственного дизайна, что потребует дополнительного времени и затрат.

Индустрия интеллектуальной собственности на основе кремния демонстрирует стабильный рост на протяжении многих лет. Наиболее успешными компаниями в области интеллектуальной собственности на основе кремния, часто называемыми Star IP, являются ARM Holdings и Synopsys . Gartner Group оценила общую стоимость продаж, связанных с интеллектуальной собственностью на основе кремния, в 1,5 млрд долларов США в 2005 году с ожидаемым ежегодным ростом около 30%. [3] [ требуется обновление ]

IP-упрочнение

Усиление защиты ИС — это процесс повторного использования проверенных конструкций и создания решений с быстрым выводом на рынок и низким риском при производстве для обеспечения интеллектуальной собственности (ИС) (или интеллектуальной собственности на кремниевые компоненты) ядер конструкций.

Например, цифровой сигнальный процессор (DSP) разрабатывается из программных ядер формата RTL , и он может быть нацелен на различные технологии или различные литейные заводы для получения различных реализаций. Процесс усиления защиты IP заключается в создании повторно используемых жестких (аппаратных) ядер [ необходимо разъяснение ] . Главным преимуществом такого жесткого IP являются его предсказуемые характеристики, поскольку IP был предварительно реализован, в то время как он обеспечивает гибкость программных ядер. Он может поставляться с набором моделей для моделирования с целью проверки.

Усилия по укреплению мягкого IP требуют использования качества целевой технологии, целей дизайна и методологии. Жесткий IP был проверен в целевой технологии и применении. Например, твердое ядро ​​в формате GDS II, как говорят, очищается в DRC ( проверка правил проектирования ) и LVS (см. Layout Versus Schematic ). То есть, может пройти все правила, требуемые для производства конкретным литейным заводом. [4] [5]

Бесплатно и с открытым исходным кодом

Начиная примерно с 2000 года OpenCores.org предлагает различные программные ядра, в основном написанные на VHDL и Verilog . Все эти ядра предоставляются по свободной и открытой лицензии на программное обеспечение, такой как GNU General Public License или лицензии типа BSD . [6] Начиная с 2010 года такие инициативы, как RISC-V, привели к значительному расширению числа доступных IP-ядер (почти 50 к 2019 году [7] ). Это помогло расширить сотрудничество в разработке безопасных и эффективных проектов. [8]

Смотрите также

Ссылки

  1. ^ Туоми, Илкка (2009-12-04). «Будущее архитектурных блоков интеллектуальной собственности полупроводников в Европе». Репозиторий публикаций JRC . Получено 2023-08-02 .
  2. ^ Кларк, Питер (23 апреля 2014 г.). «Cadence входит в четверку лучших в рейтинге полупроводниковых IP-ядер». EE Times Europe . Нет данных. Питер Кларк. European Business Press SA. Архивировано из оригинала 2 августа 2014 г. Получено 14 июля 2014 г.
  3. ^ Киат Сенг Ё, Ким Тин Нг, Чжи Хуэй Конг Интеллектуальная собственность для интегральных схем , J. Ross Publishing, 2010 ISBN 1-932159-85-1 
  4. ^ http://www.eettaiwan.com/ART_8800406094_480102_AN_71148c3a.HTM Архивировано 04.08.2009 на Wayback Machine Усиление IP eetTaiwan Нерабочая ссылка 30.06.2011
  5. ^ [1] Подробнее об IP-укреплении. Организация (созданная правительством) предоставляет услуги IP-укрепления и IP-интеграции. На китайском языке.
  6. ^ "Лицензирование :: OpenCores". opencores.org . Получено 14.11.2019 .
  7. ^ "RISC-V Cores and SoC Overview". RISC-V Foundation. Архивировано из оригинала 24 апреля 2020 г. Получено 8 октября 2019 г.
  8. ^ Даунхауэр, Денис. «Значимость ядер интеллектуальной собственности с открытым исходным кодом для развития Интернета вещей». Блог Internet of Things . Deloitte . Получено 8 октября 2019 г.

Внешние ссылки