stringtranslate.com

7-нм процесс

В производстве полупроводников процесс «7 нм» — это маркетинговый термин для технологического узла MOSFET после узла «10 нм» , определенного Международной технологической дорожной картой для полупроводников . Он основан на технологии FinFET (ребристый полевой транзистор), типе технологии многозатворных MOSFET .

Фраза «7 нм» не относится к каким-либо размерам интегральных схем и не имеет никакого отношения к длине затвора, шагу металла или шагу затвора; по крайней мере с 1997 года «узел» стал коммерческим названием в маркетинговых целях [1] , обозначающим новые поколения технологических процессов без какого-либо отношения к физическим свойствам. [2] [3] [4] Однако наименьший размер внутри отдельного транзистора, ширина ребра, иногда может составлять 7 нм. [5] Процессы TSMC и Samsung «10 нм» (10 LPE) по плотности транзисторов находятся где-то между процессами Intel «14 нм» и «10 нм» .


Тайваньская компания по производству полупроводников ( TSMC ) начала производство микросхем памяти  SRAM емкостью 256 Мбит с использованием «7- нм » процесса под названием N7 в июне 2016 года, [6] до того, как Samsung начала массовое производство своего «7-нм» процесса под названием 7LPP в 2018 году. [ 7] Первый массовый мобильный процессор «7 нм», предназначенный для использования на массовом рынке, Apple A12 Bionic , был представлен на мероприятии Apple в сентябре 2018 года. [8] Хотя 31 августа 2018 года компания Huawei анонсировала собственный процессор «7 нм» до Apple A12 Bionic, Kirin 980, Apple A12 Bionic был выпущен для публичного массового использования потребителями до Kirin 980. Оба чипа были выпущены производства TSMC. [9]

В 2019 году [10] AMD выпустила свои процессоры « Рим » (EPYC 2) для серверов и центров обработки данных, которые основаны на  узле TSMC N7 [11] и имеют до 64 ядер и 128 потоков. Они также выпустили потребительские настольные процессоры Matisse с числом ядер до 16 и 32 потоков. Однако кристалл ввода-вывода в многочиповом модуле Rome (MCM) изготовлен по 14-нм техпроцессу GlobalFoundries (14HP), а кристалл ввода-вывода Matisse использует 12-нм процесс GlobalFoundries (12LP+). Серия Radeon RX 5000 также основана на техпроцессе TSMC N7.

История

Демонстрации технологий

МОП-транзисторы размером 7 нм были впервые продемонстрированы исследователями в начале 2000-х годов. В 2002 году исследовательская группа IBM , в которую входили Брюс Дорис, Омер Докумачи, Мейкей Ионг и Анда Мокута, изготовила 6-нм MOSFET-транзистор кремний-на-изоляторе (SOI). [12] [13] В 2003 году исследовательская группа NEC под руководством Хитоши Вакабаяши и Сигэхару Ямагами изготовила 5 -нм МОП-транзистор. [14] [15]

В июле 2015 года IBM объявила, что создала первые функциональные транзисторы по технологии «7 нм» с использованием кремний-германиевого процесса. [16] [17] [18] [19]

В июне 2016 года TSMC произвела ячейки памяти SRAM емкостью 256 Мбит по технологии «7 нм» [6] с площадью ячейки 0,027 квадратных микрометров (550 F 2 ) [ правописание? ] с разумной рискованной доходностью производства. [20]

Ожидаемая коммерциализация и технологии

В апреле 2016 года TSMC объявила, что пробное производство «7 нм» начнется в первой половине 2017 года. [21] В апреле 2017 года TSMC начала рискованное производство микросхем памяти SRAM 256 Мбит с использованием процесса «7 нм» (N7FF +), [6] с литографией в крайнем ультрафиолете (EUV). [22] Производственные планы TSMC на «7 нм» по состоянию на начало 2017 года [ требуют обновления ] заключались в первоначальном использовании иммерсионной литографии в глубоком ультрафиолете (DUV) на этом технологическом узле (N7FF), а переходе от риска к коммерческому производству со второго квартала 2017 года. до второго квартала 2018 года. Кроме того, их производство более позднего поколения «7 нм» (N7FF +) было запланировано [ требует обновления ] для использования множественного рисунка EUV и предполагаемого перехода от риска к массовому производству в период с 2018 по 2019 год. [23]

В сентябре 2016 года GlobalFoundries объявила о пробном производстве во второй половине 2017 года и о рискованном производстве в начале 2018 года, при этом тестовые чипы уже запущены. [24]

В феврале 2017 года Intel анонсировала Fab 42 в Чандлере, штат Аризона , который, согласно пресс-релизам на тот момент, ожидался [ требует обновления ] для производства микропроцессоров с использованием производственного процесса «7 нм» (Intel 4 [25] ). [26] На тот момент компания не опубликовала никаких ожидаемых значений длин объектов на этом узле процесса. [ нужно обновить ]

В апреле 2018 года TSMC объявила о серийном производстве чипов «7 нм» (CLN7FF, N7). В июне 2018 года компания объявила о наращивании массового производства. [7]

В мае 2018 года Samsung объявила о производстве в этом году чипов «7 нм» (7LPP). ASML Holding NV является их основным поставщиком машин для литографии EUV. [27]

В августе 2018 года GlobalFoundries объявила о прекращении разработки чипов «7 нм», сославшись на стоимость. [28]

28 октября 2018 года компания Samsung объявила, что процесс второго поколения «7 нм» (7LPP) вступил в рискованное производство и на тот момент ожидалось, что оно будет запущено в массовое производство к 2019 году. [ требуется обновление ]

17 января 2019 года в ходе отчета о финансовых результатах за четвертый квартал 2018 года TSMC упомянула, что разные клиенты будут иметь «разные варианты» второго поколения «7 нм». [29] [ нужно обновить ]

16 апреля 2019 года TSMC объявила о своем «6-нм» техпроцессе под названием (CLN6FF, N6), который, согласно пресс-релизу, выпущенному 16 апреля 2019 года, на тот момент ожидался, что он появится в массовых продуктах с 2021 года . 30] [ требуется обновление ] В то время ожидалось, что N6 будет использовать EUVL до 5 уровней по сравнению с 4 уровнями в их процессе N7+. [31]

28 июля 2019 года TSMC анонсировала свой «7-нм» процесс второго поколения под названием N7P, который, по прогнозам, будет основан на DUV, как и их процесс N7. [32] Поскольку N7P был полностью IP-совместим с исходным «7 нм», а N7+ (который использует EUV) — нет, N7+ (объявленный ранее как «7 нм+») должен был быть отдельным процессом от «7 нм». . N6 («6 нм»), еще один процесс на основе EUV, в то время планировалось выпустить позже, чем даже процесс «5 нм» (N5) TSMC, с IP-совместимостью с N7. На своем отчете о прибылях и убытках за первый квартал 2019 года TSMC повторила свое заявление за четвертый квартал 2018 года [29] о том, что на тот момент ожидалось, что N7+ принесет менее 1 миллиарда тайваньских долларов дохода в 2019 году. [33] [ требуется обновление ]

5 октября 2019 года AMD объявила о своей дорожной карте EPYC , включающей чипы Milan, созданные с использованием процесса TSMC N7+. [34] [ нужно обновить ]

7 октября 2019 года TSMC объявила, что начала поставлять на рынок продукты N7+ в больших объемах. [35] [ нужно обновить ]

26 июля 2021 года Intel объявила о своей новой производственной программе, переименовав все свои будущие технологические узлы. [25] Технология Enhanced SuperFin (10ESF) Intel «10 нм», которая была примерно эквивалентна процессу N7 компании TSMC, отныне будет называться «Intel 7», в то время как их более ранний процесс «7 нм» раньше назывался «Intel 4». [25] [36] В результате первые процессоры Intel на базе Intel 7 на тот момент планировалось начать поставки ко второй половине 2022 года, [ требуется обновление ] , тогда как ранее Intel объявила, что планирует выпустить «7». nm" процессоры в 2023 году. [37] [ нужно обновить ]

Коммерциализация технологий

В июне 2018 года AMD объявила о выпуске графических процессоров Radeon Instinct «7 нм» во второй половине 2018 года . [38] В августе 2018 года компания подтвердила выпуск графических процессоров. [39]

21 августа 2018 года компания Huawei анонсировала свою SoC HiSilicon Kirin 980, которая будет использоваться в их Huawei Mate 20 и Mate 20 Pro, построенных с использованием техпроцесса TSMC «7 нм» (N7). [ нужно обновить ]

12 сентября 2018 года Apple анонсировала свой чип A12 Bionic , используемый в iPhone XS и iPhone XR , построенный с использованием техпроцесса TSMC «7 нм» (N7). Процессор A12 стал первым 7-нм чипом для массового использования, поскольку он был выпущен до Huawei Mate 20. [40] [41] 30 октября 2018 года Apple анонсировала свой чип A12X Bionic , используемый в iPad Pro , созданный с использованием технологии TSMC. Процесс 7 нм" (N7). [42]

4 декабря 2018 года Qualcomm анонсировала свои процессоры Snapdragon 855 и 8cx , построенные с использованием техпроцесса TSMC «7 нм» (N7). [43] Первым массовым продуктом на базе Snapdragon 855 стал Lenovo Z5 Pro GT, анонсированный 18 декабря 2018 года. [44]

29 мая 2019 года MediaTek анонсировала свою SoC 5G, созданную с использованием техпроцесса TSMC «7 нм». [45]

7 июля 2019 года AMD официально представила серию центральных процессоров Ryzen 3000, основанных на техпроцессе TSMC «7 нм» и микроархитектуре Zen 2 .

6 августа 2019 года Samsung анонсировала SoC Exynos 9825, первый чип, созданный с использованием процесса 7LPP. Exynos 9825 — первый чип для массового рынка, созданный с использованием EUVL . [46]

6 сентября 2019 года компания Huawei анонсировала свои SoC HiSilicon Kirin 990 4G и 990 5G , созданные с использованием процессов TSMC N7 и N7+. [47]

10 сентября 2019 года Apple анонсировала свой чип A13 Bionic , используемый в iPhone 11 и iPhone 11 Pro , созданный с использованием процесса TSMC N7P второго поколения. [48]

Производство «7 нм» (узлы N7) составило 36% выручки TSMC во втором квартале 2020 года. [49]

17 августа 2020 года IBM анонсировала свой процессор Power10 . [48]

26 июля 2021 года Intel объявила, что ее процессоры Alder Lake будут производиться с использованием недавно переименованного процесса Intel 7, ранее известного как «10-нм Enhanced SuperFin». [25] На тот момент, согласно пресс-релизам, ожидалось, что эти процессоры будут выпущены во второй половине 2021 года. [ требуется обновление ] Ранее компания подтвердила «7 нм», теперь называемую «Intel 4». ", [25] семейство микропроцессоров под названием Meteor Lake, которое будет выпущено в 2023 году. [50] [51] [ нужно обновить ]

Трудности с узором

Проблемы с разделением высоты тона. Последовательное формирование рисунка литотравления подвержено ошибкам наложения, а также ошибкам CD от разных экспозиций.
Проблемы с рисунком прокладок. Формирование спейсера обеспечивает превосходный контроль CD для элементов, непосредственно структурированных спейсером, но промежутки между спейсерами могут быть разделены на основные группы и группы промежутков.
Влияние ошибки наложения на обрезку линии. Ошибка наложения на обнажении вырезанного отверстия может привести к искажению концов линии (вверху) или нарушению соседней линии (внизу).
Проблемы с двухполосным EUV-паттерном. В EUV-литографии пара элементов не может иметь оба элемента в фокусе одновременно; один будет отличаться по размеру от другого, и оба также будут по-разному смещаться в зависимости от фокуса.
Вероятность стохастического отказа EUV 7 нм. Ожидалось, что ширина элементов «7 нм» будет приближаться к 20 нм. Вероятность стохастического отказа EUV заметно высока для обычно применяемой дозы 30 мДж/см 2 .

Ожидается, что литейный узел «7 нм» будет использовать любую из следующих технологий формирования рисунка или их комбинацию: разделение шага , самовыравнивающееся формирование рисунка и EUV-литографию . Каждая из этих технологий сопряжена с серьезными проблемами в управлении критическими размерами (CD), а также размещением шаблонов, причем все они связаны с соседними элементами.

Разделение высоты тона

Разделение высоты тона включает в себя разделение элементов, которые расположены слишком близко друг к другу, на разные маски, которые последовательно экспонируются с последующей обработкой литотравлением. Из-за использования разных экспозиций всегда существует риск ошибки наложения между двумя экспозициями, а также возникновения разных компакт-дисков в результате разных экспозиций.

Распорка

Формирование промежуточного рисунка включает в себя нанесение слоя на предварительно структурированные элементы, а затем обратное травление для формирования прокладок на боковых стенках этих элементов, называемых центральными элементами. После удаления основных элементов прокладки используются в качестве маски травления для определения траншей в нижележащем слое. В то время как контроль промежуточного CD в целом превосходен, траншейный CD может попасть в одну из двух популяций из-за двух возможностей: находиться там, где находился основной элемент, или в оставшемся промежутке. Это известно как «ходьба по шагу». [52] Обычно шаг = сердечник CD + зазор CD + 2 * прокладка CD, но это не гарантирует, что сердечник CD = зазор CD. Для таких функций FEOL , как изоляция ворот или активной области (например, ребер), траншейный CD не так важен, как CD, определяемый проставками, и в этом случае создание рисунка с прокладками фактически является предпочтительным подходом к созданию рисунка.

При использовании самовыравнивающегося четверного рисунка (SAQP) используется вторая прокладка, заменяющая первую. В этом случае основной CD заменяется на основной CD - 2*2-й спейсерный CD, а разрывный CD заменяется на разрывный CD - 2*2-й спейсерный CD. Таким образом, размеры некоторых элементов строго определяются второй прокладкой CD, тогда как размеры остальных элементов определяются основной CD, шагом сердечника, а также первой и второй прокладочными CD. Центральный компакт-диск и шаг сердцевины определяются с помощью обычной литографии, тогда как разделительные компакт-диски не зависят от литографии. На самом деле ожидается, что это будет иметь меньше вариаций, чем разделение высоты звука, когда дополнительная экспозиция определяет свой собственный компакт-диск, как напрямую, так и через наложение.

Линии, определенные разделителями, также требуют обрезки. Пятна разреза могут смещаться при экспонировании, что приводит к искажению концов линий или проникновению в соседние линии.

Самовыравнивающееся литотравление-литотравление (SALELE) было реализовано для формирования рисунка BEOL «7 нм». [53]

EUV-литография

Литография в экстремальном ультрафиолете (также известная как EUV или EUVL ) способна разрешать детали размером менее 20 нм в обычном стиле литографии. Однако трехмерная отражающая природа маски EUV приводит к новым аномалиям изображения. Особой неприятностью является эффект двух полос, когда пара одинаковых элементов в форме полосок не фокусируется одинаково. Одна особенность по существу находится в «тени» другой. Следовательно, эти две функции обычно имеют разные компакт-диски, которые меняются в зависимости от фокуса, и эти функции также меняют положение в зависимости от фокуса. [54] [55] [56] Этот эффект может быть аналогичен тому, который может возникнуть при разделении высоты тона. Связанный с этим вопрос — это разница в наилучшем фокусе между функциями разных питчей. [57]

У EUV также есть проблемы с надежной печатью всех функций в большой популяции; некоторые контакты могут полностью отсутствовать или линии перемыкаться. Они известны как стохастические сбои печати. [58] [59] Уровень дефектов составляет порядка 1 тыс./мм 2 . [60]

Зазор между наконечниками трудно контролировать в EUV, в основном из-за ограничений освещенности. [61] Предпочтительна отдельная экспозиция(и) для линий разреза.

Маски с ослабленным фазовым сдвигом использовались при производстве узла 90 нм для обеспечения адекватных окон фокусировки для контактов с произвольным шагом с длиной волны ArF-лазера (193 нм), [62] [63] , тогда как это улучшение разрешения недоступно для EUV. [64] [65]

На конференции EUV Lithography SPIE в 2021 году клиент TSMC сообщил, что выход EUV-контакта сопоставим с выходом при иммерсионном мультипаттерне. [66]

Сравнение с предыдущими узлами

Из-за этих проблем технология «7 нм» создает беспрецедентные трудности с созданием паттернов на задней стороне линии (BEOL). Предыдущий крупносерийный и долговечный литейный узел (Samsung «10 нм», TSMC «16 нм») использовал разделение тона для металлических слоев с более плотным пеком. [67] [68] [69]

Время цикла: погружение против EUV

Поскольку в настоящее время инструменты погружения работают быстрее, на большинстве слоев по-прежнему используется мультипаттерн. На слоях, требующих иммерсионного четырехслойного рисунка, производительность завершения слоев с помощью EUV сопоставима. На других слоях погружение было бы более продуктивным при завершении слоя даже при наличии мультипаттернов.

Управление правилами проектирования в серийном производстве

Формирование металлического рисунка «7 нм», в настоящее время практикуемое TSMC, включает в себя линии самовыравнивающегося двойного рисунка (SADP) с разрезами, вставленными внутри ячейки на отдельной маске по мере необходимости для уменьшения высоты ячейки. [72] Однако для формирования плавника используется самовыравнивающийся четырехугольный рисунок (SAQP), который является наиболее важным фактором производительности. [73] Проверки правил проектирования также позволяют избежать использования нескольких шаблонов и обеспечивают достаточные зазоры для разрезов, поэтому требуется только одна маска разреза. [73]

Узлы процессов и предложения процессов

Именование технологических узлов четырьмя разными производителями (TSMC, Samsung, SMIC , Intel) частично обусловлено маркетингом и не связано напрямую с каким-либо измеримым расстоянием на кристалле — например, узел «7 нм» TSMC ранее был схожим по некоторым ключевым параметрам. к запланированной Intel первой итерации узла «10 нм», прежде чем Intel выпустила дальнейшие итерации, кульминацией которых стал «10-нм Enhanced SuperFin», который позже был переименован в «Intel 7» по маркетинговым причинам. [74] [75]

Поскольку реализация EUV на скорости «7 нм» все еще ограничена, многошаблонирование по-прежнему играет важную роль в стоимости и доходности; EUV добавляет дополнительные соображения. Разрешение большинства критических слоев по-прежнему определяется множественным структурированием. Например, для «7 нм» Samsung, даже со слоями с шагом 36 нм с одним рисунком EUV, слои с шагом 44 нм все равно будут иметь четырехкратный рисунок. [76]

Процесс 7LP (ведущая производительность) GlobalFoundries «7 нм» предлагал бы на 40 % более высокую производительность или на 60 %+ меньшую мощность при 2-кратном увеличении плотности и на 30–45 % более низкой стоимости кристалла по сравнению с процессом «14 нм» . Шаг контактного полиэфира (CPP) должен был составлять 56 нм, а минимальный шаг металла (MMP) - 40 нм, что было получено с использованием самовыравнивающегося двойного рисунка (SADP). Размер ячейки SRAM 6T имел бы размер 0,269 квадратных микрона. GlobalFoundries планировала в конечном итоге использовать EUV-литографию в улучшенном процессе под названием 7LP+. [98] Позже GlobalFoundries прекратила разработку всех процессов «7 нм» и выше. [99]

Новый процесс Intel «Intel 7», ранее известный как «10 нм Enhanced SuperFin» (10ESF), основан на предыдущем 10-нм узле. Узел будет иметь прирост производительности на 10-15% на ватт . Между тем, их старый «7-нм» техпроцесс, который теперь называется «Intel 4 », в то время должен был быть выпущен в 2023 году . была обнародована, хотя плотность транзисторов на тот момент оценивалась как минимум в 202 миллиона транзисторов на квадратный миллиметр. [25] [101] [ нужно обновить ] По состоянию на 2020 год у Intel возникли проблемы с процессом «Intel 4», вплоть до передачи на аутсорсинг производства своих графических процессоров Ponte Vecchio. [102] [103] [ нужно обновить ]

Рекомендации

  1. Моррис, Кевин (23 июля 2020 г.). «Больше никаких нанометров: пришло время именования новых узлов». Электронный инженерный журнал . Проверено 17 сентября 2022 г.
  2. ^ Шукла, Приянк. «Краткая история эволюции узла процесса». Дизайн-Повторное использование . Проверено 9 июля 2019 г.
  3. ^ Грушка, Джоэл (23 июня 2014 г.). «14 нм, 7 нм, 5 нм: насколько низко может опускаться CMOS? Это зависит от того, спросите ли вы инженеров или экономистов…». ЭкстримТех . Проверено 17 сентября 2022 г.
  4. Пирзада, Усман (16 сентября 2016 г.). «Эксклюзив: действительно ли Intel начинает терять свое технологическое лидерство? Выпуск 7-нм узла запланирован на 2022 год». Wccftech . Проверено 17 сентября 2022 г.
  5. Кантер, Дэвид (12 июня 2022 г.). «Процесс Intel 4 масштабирует логику с помощью дизайна, материалов и EUV». Realworldtech.com . Проверено 24 сентября 2023 г.
  6. ^ abcde «Технология 7 нм». ТСМС . Проверено 30 июня 2019 г.
  7. ^ Аб Чен, Моника; Шен, Джесси (22 июня 2018 г.). «TSMC наращивает производство 7-нм чипов» . ДиджиТаймс . Проверено 17 сентября 2022 г.
  8. Шенкленд, Стивен (12 сентября 2018 г.). «Процессор Apple A12 Bionic для нового iPhone XS опережает отрасль, перейдя на 7-нм технологию производства чипов». CNET . Проверено 16 сентября 2018 г.
  9. Саммерс, Н. (12 сентября 2018 г.). «Apple A12 Bionic — это первый 7-нанометровый чип для смартфонов». Engadget . Проверено 20 сентября 2018 г.
  10. ^ «AMD запускает Epyc Rome, первый 7-нм процессор» . 8 августа 2019 г.
  11. Смит, Райан (26 июля 2018 г.). «Процессоры AMD «Rome» EPYC будут производиться TSMC» . АнандТех . Проверено 18 июня 2019 г.
  12. ^ «IBM заявляет о самом маленьком кремниевом транзисторе в мире - TheINQUIRER» . Theinquirer.net . 9 декабря 2002. Архивировано из оригинала 31 мая 2011 года . Проверено 7 декабря 2017 г.
  13. ^ Дорис, Брюс Б.; Докумачи, Омер Х.; Ёнг, Мейкей К.; Мокута, Анда; Чжан, Ин; Канарский, Томас С.; Рой, РА (декабрь 2002 г.). «Экстремальное масштабирование с помощью ультратонких Si-канальных МОП-транзисторов». Дайджест. Международная встреча по электронным устройствам . стр. 267–270. doi :10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2. S2CID  10151651.
  14. ^ «NEC тестирует самый маленький транзистор в мире» . Бесплатная библиотека . Проверено 7 декабря 2017 г.
  15. ^ Вакабаяси, Хитоши; Ямагами, Сигэхару; Икезава, Нобуюки; Огура, Ацуши; Нарихиро, Мицуру; Арай, К.; Очиай, Ю.; Такеучи, К.; Ямамото, Т.; Могами, Т. (декабрь 2003 г.). «Устройства планарно-объемной КМОП суб10 нм, использующие управление боковым переходом». Международная конференция IEEE по электронным устройствам , 2003 г. стр. 20.7.1–20.7.3. doi :10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID  2100267.
  16. ^ Диньян, Ларри. «IBM Research создает функциональный 7-нм процессор» . ЗДНет .
  17. Маркофф, Джон (9 июля 2015 г.). «IBM раскрывает рабочую версию чипа гораздо большей емкости». Нью-Йорк Таймс .
  18. ^ «За пределами кремния: IBM представляет первый в мире 7-нм чип - Ars Technica» . arstechnica.com . 9 июля 2015 г.
  19. ^ «Семь достижений для чипов за пределами 7 нм» . Блог исследований IBM . 27 февраля 2017 г.
  20. ^ Чанг, Дж.; Чен, Ю.; Чан, В.; Сингх, СП; Ченг, Х.; Фудзивара, Х.; Лин, Дж.; Связь.; Хунг, Дж.; Ли, Р.; Ляо, Х. (февраль 2017 г.). «12.1 7-нм SRAM емкостью 256 МБ в технологии FinFET с металлическим затвором high-k и схемой помощи при записи для приложений с низким VMIN». Международная конференция IEEE по твердотельным схемам (ISSCC) , 2017 г. стр. 206–207. дои : 10.1109/ISSCC.2017.7870333. ISBN 978-1-5090-3758-2. S2CID  19930825.
  21. Пэриш, Кевин (20 апреля 2016 г.). «Остерегайтесь Intel и Samsung: TSMC готовится к переходу на 7-нм техпроцесс и пробному производству». Цифровые тенденции . Проверено 17 сентября 2022 г.
  22. ^ «Советы TSMC по узлам 7+, 12, 22 нм | EE Times» . ЭТаймс . Проверено 17 марта 2017 г.
  23. Шилов, Антон (5 мая 2017 г.). «Дорожные карты Samsung и TSMC: добавлены 8 и 6 нм, рассматриваются 22ULP и 12FFC». АнандТех . Проверено 17 сентября 2022 г.
  24. ^ «GLOBALFOUNDRIES предоставит лучшее в отрасли предложение по технологии FinFET 7 нм» . GlobalFoundries (Пресс-релиз). 15 сентября 2016 г. Проверено 8 апреля 2017 г.
  25. ^ abcdefgh Катресс, Ян. «Дорожная карта Intel до 2025 года: с 4 нм, 3 нм, 20 А и 18 А ?!». www.anandtech.com . Проверено 27 июля 2021 г.
  26. ^ «Intel поддерживает американские инновации, инвестируя 7 миллиардов долларов в завод полупроводников следующего поколения в Аризоне» . Отдел новостей Intel . 8 февраля 2017 г. Проверено 17 сентября 2022 г.
  27. Кинг, Ян (22 мая 2018 г.). «Samsung сообщает, что в этом году начнется производство новых 7-нанометровых чипов» . Блумберг . Проверено 17 сентября 2022 г.
  28. Дент, Стив (28 августа 2018 г.). «Крупный поставщик чипов AMD больше не будет производить чипы следующего поколения». Engadget . Проверено 17 сентября 2022 г.
  29. ^ ab Стенограмма телеконференции TSMC о прибылях и убытках за четвертый квартал 2018 г., 17 января 2019 г.
  30. ↑ Аб Шор, Дэвид (16 апреля 2019 г.). «TSMC объявляет о 6-нанометровом процессе» . WikiChip Предохранитель . Проверено 31 мая 2019 г.
  31. ^ Шилов, Антон (1 мая 2019 г.). «TSMC: большинство 7-нм клиентов перейдут на 6-нм» . АнандТех . Проверено 31 мая 2019 г.
  32. ↑ Аб Шор, Дэвид (28 июля 2019 г.). «TSMC рассказывает о 7-нм, 5-нм техпроцессе, производительности, следующем поколении 5G и упаковке для высокопроизводительных вычислений» . WikiChip Предохранитель . Проверено 13 сентября 2019 г.
  33. ^ CC Wei, стенограмма телеконференции TSMC о прибылях и убытках за первый квартал 2019 года (18 апреля).
  34. Алкорн, Пол (5 октября 2019 г.). «Блюда AMD в архитектуре Zen 3 и Zen 4, дорожная карта Милана и Генуи». Аппаратное обеспечение Тома . Проверено 8 октября 2019 г.
  35. ^ «Технология N7+ от TSMC — это первый процесс EUV, обеспечивающий доставку клиентских продуктов на рынок в больших объемах | Planet 3DNow!» (на немецком). 7 октября 2019 г. Проверено 8 октября 2019 г.
  36. ^ «Ускорение инноваций в процессах» (PDF) . Интел . 26 июля 2021 г.
  37. Джонс, Райан (27 марта 2021 г.). «Ctrl+Alt+Delete: почему вас должен радовать 7-нм процессор Intel». Доверенные отзывы . Проверено 30 марта 2021 г.
  38. ^ «Раздвигая границы для процессоров и графических процессоров, AMD демонстрирует лидерство в продуктах Ryzen, Radeon и EPYC следующего поколения на выставке Computex 2018» (пресс-релиз). 5 июня 2018 г.
  39. Мартин, Дилан (23 августа 2018 г.). «Технический директор AMD: мы пошли ва-банк на 7-нм процессоры» . КРН . Проверено 17 сентября 2022 г.
  40. ^ «Apple анонсирует iPhone Xs и iPhone Xs Max с золотым цветом, более быстрой идентификацией лица и многим другим» . 12 сентября 2018 г.
  41. Фридман, Эндрю Э. (12 сентября 2018 г.). «Apple представляет 7-нм процессор A12 Bionic для iPhone XS» . Аппаратное обеспечение Тома . Проверено 12 сентября 2018 г.
  42. Аксон, Сэмюэл (7 ноября 2018 г.). «Apple проводит Ars через систему A12X iPad Pro на чипе» . Арс Техника . Проверено 18 ноября 2018 г.
  43. ^ Катресс, Ян (4 декабря 2018 г.). «Технический саммит Qualcomm, день 1: объявление о партнерстве в области 5G и Snapdragon 855». АнандТех . Проверено 31 мая 2019 г.
  44. Фрумусану, Андрей (18 декабря 2018 г.). «Lenovo первой выпустила телефон на Snapdragon 855 с анонсом Z5 Pro GT». АнандТех . Проверено 31 мая 2019 г.
  45. ^ «МедиаТек 5G». МедиаТек . Проверено 31 мая 2019 г.
  46. Рианна Сиддики, Аамир (7 августа 2019 г.). «Samsung анонсирует Exynos 9825 перед запуском Galaxy Note 10» . XDA-Разработчики . Проверено 13 сентября 2019 г.
  47. ^ Катресс, Ян. «Huawei анонсирует Kirin 990 и Kirin 990 5G: подход с использованием двух SoC, встроенный модем 5G» . АнандТех . Проверено 13 сентября 2019 г.
  48. ^ ab «IBM представляет процессор IBM POWER10 следующего поколения» . Отдел новостей IBM . 17 августа 2020 г. . Проверено 17 августа 2020 г.
  49. ^ «TSMC прокладывает агрессивный курс в области 3-нм литографии и не только - ExtremeTech» .
  50. ^ «Генеральный директор Intel объявляет о стратегии IDM 2.0 в области производства и инноваций» . Отдел новостей Intel . 23 марта 2021 г. . Проверено 17 сентября 2022 г.
  51. ^ «Intel Unleashed: проектирование будущего (повтор)» . Отдел новостей Intel . 23 марта 2021 г. . Проверено 17 сентября 2022 г.
  52. ^ MJ Маслоу и др., Proc. SPIE 10587, 1058704 (2018).
  53. ^ ПРОДАЖА Двойной шаблон для узлов 7 и 5 нм
  54. ^ «Семинар IMEC EUVL 2018» (PDF) .
  55. ^ Ю. Накадзима и др., Симпозиум EUVL 2007, Саппоро.
  56. ^ Л. де Винтер и др., Proc. ШПИЕ 9661, 96610А (2015 г.).
  57. ^ М. Буркхардт и А. Рагунатан, Proc. ШПИОН 9422, 94220X (2015 г.).
  58. ^ П. Де Бишоп и Э. Хендрикс, Proc. ШПИЕ 10583, 105831К (2018).
  59. ^ "Стохастическая долина смерти EUV" . linkedin.com .
  60. ^ С. Ларивьер и др., Proc. ШПИЕ 10583, 105830У (2018 г.).
  61. ^ Э. ван Сеттен и др., Proc. ШПИЕ 9661. 96610G (2015).
  62. ^ Ч. Чанг и др., Proc. SPIE 5377, 902 (2004).
  63. ^ Т. Девуавр и др., MTDT 2002.
  64. ^ СС. Ю и др., Учеб. ШПИЕ 8679, 86791Л (2013).
  65. ^ А. Эрдманн и др., Proc. SPIE 10583, 1058312 (2018).
  66. ^ Ци Ли и др., Proc. ШПИЕ 11609, 116090В (2021 г.).
  67. ^ Чон, WC; Ан, Дж. Х.; Банг, Ю.С.; Юн, Ю.С.; Чой, JY; Ким, ЮК; Пэк, Юго-Запад; Ан, Юго-Запад; Ким, бакалавр наук; Сонг, Ти Джей; Юнг, Дж. Х.; Делай, Дж. Х.; Лим, С.М.; Чо, Х.-; Ли, Дж. Х.; Ким, Д.В.; Канг, С.Б.; Ку, Дж.-; Квон, SD; Юнг, С.-; Юн, Дж. С. (23 июня 2017 г.). «10-нм технология BEOL 2-го поколения с оптимизированным освещением и LELELELE». Симпозиум 2017 по технологии СБИС . стр. Т144–Т145. дои : 10.23919/VLSIT.2017.7998156. ISBN 978-4-86348-605-8. S2CID  43207918 – через IEEE Xplore.
  68. ^ «Симпозиум TSMC: «10-нм готовы к проектированию, начинающемуся в этот момент» - Аналитика отрасли - Блоги Cadence - Сообщество Cadence» . Community.cadence.com .
  69. ^ Ву, С.; Лин, CY; Чанг, MC; Лиав, Джей-Джей; Ченг, JY; Ян, С.Х.; Лян, М.; Мияшита, Т.; Цай, CH; Сюй, Британская Колумбия; Чен, HY; Ямамото, Т.; Чанг, Ю.Ю.; Чанг, В.С.; Чанг, Швейцария; Чен, Дж. Х.; Чен, ХФ; Тинг, КЦ; Ву, Ю.К.; Пан, К.Х.; Цуй, РФ; Яо, Швейцария; Чанг, PR; Лиен, HM; Ли, ТЛ; Ли, HM; Чанг, В.; Чанг, Т.; Чен, Р.; Ага, М.; Чен, CC; Чиу, Ю.Х.; Чен, Ю.Х.; Хуанг, ХК; Лу, ЮК; Чанг, CW; Цай, МХ; Лю, CC; Чен, Канзас; Куо, CC; Лин, ХТ; Джанг, С.М.; Ку, Ю. (23 декабря 2013 г.). «16-нм технология FinFET CMOS для мобильных SoC и вычислительных приложений». Международная конференция IEEE по электронным устройствам , 2013 г. стр. 9.1.1–9.1.4. doi :10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9– через IEEE Xplore.
  70. ^ «Товары и услуги. Поставка полупроводниковой промышленности». asml.com .
  71. ^ ab «Samsung Ramps 7-нм чипы EUV» . ЭТаймс . 17 октября 2018 г.
  72. ^ «Процесс литографии 7 нм - WikiChip» .
  73. ^ ab «Эвристический подход к устранению нарушений правил проектирования (DRC) в конструкциях ASIC с использованием технологии FinFET на 7 нм». Проектирование и повторное использование .
  74. Меррит, Рик (16 января 2017 г.). «15 видов с кремниевого саммита». ЭТаймс . Проверено 16 сентября 2022 г.
  75. Хилл, Брэндон (28 марта 2017 г.). «Intel подробно описывает усовершенствованный 10-нм узел FinFET компании Cannonlake, претендующий на полное превосходство над конкурентами» . Горячее оборудование . Архивировано из оригинала 12 июня 2018 года . Проверено 30 августа 2018 г.
  76. ^ abc Дж. Ким и др., Proc. ШПИЕ 10962, 1096204 (2019).
  77. ^ «СБИС 2018: Samsung 7-нм 2-го поколения, EUV переходит на HVM» . ВикиЧип . 4 августа 2018 г. Проверено 16 сентября 2022 г.
  78. ^ «Samsung Electronics начинает производство 7-нм процесса LPP на основе EUV» . Отдел новостей Samsung . 18 октября 2018 года . Проверено 16 сентября 2022 г.
  79. ^ «Samsung начинает массовое производство на V1: специальная фабрика EUV для узлов 7, 6, 5, 4 и 3 нм» .
  80. ^ ИЭДМ 2016
  81. ^ «TSMC переводит фотон в облако» . ЭТаймс . 4 октября 2018 г.
  82. Боншор, Гэвин (20 октября 2022 г.). «Обзор Intel Core i9-13900K и i5-13600K: Raptor Lake приносит больше удовольствия» . АнандТех . Проверено 28 сентября 2023 г.
  83. ^ «Может ли TSMC сохранить лидерство в области технологических процессов» . 18 июля 2023 г.
  84. ^ «Samsung 3-нм GAAFET приступает к рисковому производству; обсуждает улучшения следующего поколения» . 5 июля 2022 г.
  85. ↑ Аб Джонс, Скоттен (3 мая 2019 г.). «Сравнение 5-нм TSMC и Samsung». Семивики . Проверено 30 июля 2019 г.
  86. ^ «N3E заменяет N3; поставляется во многих вариантах» . 4 сентября 2022 г.
  87. Джонс, Скоттен (18 июля 2023 г.), Сможет ли TSMC сохранить лидерство в области технологических процессов
  88. ^ «Дорожная карта Intel до 2025 года: с 4 нм, 3 нм, 20 А и 18 А ?!».
  89. Шор, Дэвид (19 июня 2022 г.). «Взгляд на технологию процессов Intel 4». WikiChip Предохранитель .
  90. ^ SMIC массово производит 14-нм узлы, переходит на 5-нм, 7-нм, 16 сентября 2022 г.
  91. ^ "百度安全验证" . wappass.baidu.com . Проверено 6 сентября 2023 г.
  92. ^ ab «СБИС 2018: 7-нм техпроцесс Samsung 2-го поколения, EUV переходит на HVM» . WikiChip Предохранитель . 4 августа 2018 г. Проверено 31 мая 2019 г.
  93. Смит, Райан (13 июня 2022 г.). «Подробное описание узла процесса Intel 4: масштабирование плотности в 2 раза, повышение производительности на 20 %». АнандТех . Проверено 17 сентября 2022 г.
  94. ^ «Стенограмма звонка о прибылях и убытках TSMC за 1 квартал 2018 года, стр. 12» (PDF) . Архивировано из оригинала (PDF) 14 октября 2018 года . Проверено 14 октября 2018 г.
  95. ^ ab WC Jeong et al., Технология СБИС, 2017.
  96. Диллинджер, Том (23 марта 2017 г.). «10 лучших обновлений технологического симпозиума TSMC, часть II». Поливики . Проверено 16 сентября 2022 г.
  97. Пол Алкорн (21 июля 2022 г.). «Китайская компания SMIC поставляет 7-нм чипы, как сообщается, скопировала технологию TSMC» . Аппаратное обеспечение Тома .
  98. Джонс, Скоттен (8 июля 2017 г.). «Эксклюзивно: GLOBALFOUNDRIES раскрывает подробности 7-нм техпроцесса». Поливики . Проверено 16 сентября 2022 г.
  99. ^ Шилов, Антон; Катресс, Ян (27 августа 2018 г.). «GlobalFoundries прекращает все 7-нм разработки: предпочитает сосредоточиться на специализированных процессах». АнандТех . Проверено 27 июля 2021 г.
  100. ^ «Intel: Извините, но выпуск наших 7-нм чипов будет отложен до 2022, 2023 года» . ПКМАГ . Проверено 27 июля 2021 г.
  101. ^ «Процесс литографии 7 нм - WikiChip» .
  102. ^ «7-нм техпроцесс Intel на шесть месяцев отстает от графика — Новости» .
  103. ^ «Поскольку 7-нм график продолжает отставать, Intel рассматривает возможность сторонних производств» . 24 июля 2020 г.

Внешние ссылки