stringtranslate.com

7 нм процесс

В производстве полупроводников процесс «7 нм» — это термин для узла технологии MOSFET , следующего за узлом «10 нм» , определенного Международной дорожной картой для приборов и систем (IRDS), которой предшествовала Международная технологическая дорожная карта для полупроводников (ITRS). Он основан на технологии FinFET (fin field-effect transistor), типе технологии многозатворных MOSFET .

По состоянию на 2021 год стандарт литографии IRDS дает таблицу размеров для узла «7 нм» [1] с примерами, приведенными ниже:

Стандарт литографии IRDS 2021 года является ретроспективным документом, поскольку первое массовое производство фирменного процесса «7 нм» было начато в 2016 году компанией Taiwan Semiconductor Manufacturing Company ( TSMC ) с выпуском 256-мегабитных чипов памяти SRAM с использованием процесса «7 нм » под названием N7. [2] Samsung начала массовое производство своих устройств по процессу «7 нм» (7LPP) в 2018 году. [3] Эти технологические узлы имели такую ​​же приблизительную плотность транзисторов , как и узел Intel « 10 нм Enhanced Superfin », позже переименованный в «Intel 7» . [4]

Начиная по крайней мере с 1997 года шкала длины узла процесса не ссылалась ни на какое конкретное измерение на интегральных схемах, например, на длину затвора, шаг металла или шаг затвора, поскольку новые литографические процессы больше не уменьшали равномерно все элементы на чипе. К концу 2010-х годов шкала длины стала коммерческим названием [5] , которое указывало на новое поколение технологических процессов, без какой-либо связи с физическими свойствами. [6] [7] [8] Предыдущие стандарты ITRS и IRDS не содержали достаточных указаний по соглашениям об именовании узлов процесса для учета сильно различающихся размеров на чипе, что приводило к расхождению между тем, как литейные заводы называли свою литографию, и фактическими размерами, которых достигали их узлы процесса.

Первый массовый мобильный процессор «7 нм», предназначенный для использования на массовом рынке, Apple A12 Bionic , был анонсирован на мероприятии Apple в сентябре 2018 года . [9] Хотя Huawei анонсировала свой собственный процессор «7 нм» до Apple A12 Bionic, Kirin 980 31 августа 2018 года, Apple A12 Bionic был выпущен для публичного использования на массовом рынке потребителями до Kirin 980. Оба чипа были произведены TSMC. [10]

В 2019 году [11] AMD выпустила свои процессоры « Rome » (EPYC 2) для серверов и центров обработки данных, которые основаны на  узле N7 компании TSMC [12] и имеют до 64 ядер и 128 потоков. Они также выпустили свои потребительские настольные процессоры « Matisse » с 16 ядрами и 32 потоками. Однако кристалл ввода-вывода на многочиповом модуле Rome (MCM) изготовлен с использованием 14-нм (14HP) процесса GlobalFoundries , в то время как кристалл ввода-вывода Matisse использует 12-нм (12LP+) процесс GlobalFoundries . Серия Radeon RX 5000 также основана на процессе N7 компании TSMC.

История

Демонстрации технологий

В начале 2000-х годов исследователи начали демонстрировать 7-нм МОП-транзисторы , а команда IBM, в которую входили Брюс Дорис, Омер Докумачи, Мейкей Ионг и Анда Мокута, успешно изготовила 6-нм МОП-транзистор на основе кремния на изоляторе (SOI). [13] [14] Вскоре после этого, в 2003 году, исследователи из NEC Хитоши Вакабаяши и Шигехару Ямагами продвинулись дальше, изготовив 5-нм МОП-транзистор. [15] [16]

В июле 2015 года IBM объявила, что создала первые функциональные транзисторы с технологией «7 нм», используя кремний-германиевый процесс. [17] [18] [19] [20] С дальнейшим развитием в феврале 2017 года TSMC произвела ячейки памяти SRAM емкостью 256 Мбит с использованием своего «7 нм» процесса, с площадью ячейки 0,027 квадратных микрометров , [21] что дает минимальный размер квадратного элемента:

Накопленный в TSMC начальный объем этого 7-нм производства в 2018 году. [2]

Ожидаемая коммерциализация и технологии

В 2015 году Intel ожидала, что на 7-нм технологическом процессе в транзисторах придется использовать полупроводники III-V групп, что станет сигналом к ​​отходу от кремния. [22]

В апреле 2016 года TSMC объявила, что пробное производство «7 нм» начнется в первой половине 2017 года. [23] В апреле 2017 года TSMC начала рискованное производство 256-мегабитных чипов памяти SRAM с использованием процесса «7 нм» (N7FF+) [2] с литографией в экстремальном ультрафиолете (EUV). [24] Планы производства «7 нм» TSMC по состоянию на начало 2017 года [ требуется обновление ] заключались в использовании иммерсионной литографии в глубоком ультрафиолете (DUV) изначально на этом технологическом узле (N7FF) и переходе от рискового к коммерческому массовому производству со второго квартала 2017 года по второй квартал 2018 года. Кроме того, их более позднее поколение производства «7 нм» (N7FF+) было запланировано [ требуется обновление ] для использования множественного шаблонирования EUV и предполагаемого перехода от рискового к массовому производству между 2018 и 2019 годами. [25]

В сентябре 2016 года компания GlobalFoundries объявила о начале пробного производства во второй половине 2017 года и рискованном производстве в начале 2018 года, при этом тестовые чипы уже запущены. [26]

В феврале 2017 года Intel анонсировала Fab 42 в Чандлере, штат Аризона , который, согласно пресс-релизам того времени, должен был [ требуется обновление ] производить микропроцессоры с использованием производственного процесса «7 нм» (Intel 4 [27] ). [28] На тот момент компания не опубликовала никаких ожидаемых значений длин элементов на этом технологическом узле. [ требуется обновление ]

В апреле 2018 года TSMC объявила о массовом производстве чипов «7 нм» (CLN7FF, N7). В июне 2018 года компания объявила о наращивании массового производства. [3]

В мае 2018 года Samsung объявила о производстве чипов «7 нм» (7LPP) в этом году. ASML Holding NV является их основным поставщиком машин EUV-литографии. [29]

В августе 2018 года компания GlobalFoundries объявила о прекращении разработки чипов «7 нм» из-за их стоимости. [30]

28 октября 2018 года компания Samsung объявила, что ее второе поколение технологического процесса «7 нм» (7LPP) вошло в стадию рискованного производства и, как на тот момент ожидалось, выйдет в массовое производство к 2019 году. [ требуется обновление ]

17 января 2019 года в рамках отчета о доходах за четвертый квартал 2018 года компания TSMC упомянула, что разные клиенты будут иметь «разные вкусы» второго поколения «7 нм». [31] [ требуется обновление ]

16 апреля 2019 года компания TSMC анонсировала свой «6-нм» процесс под названием (CLN6FF, N6), который, согласно пресс-релизу от 16 апреля 2019 года, на тот момент должен был появиться в массовых продуктах с 2021 года. [32] [ требуется обновление ] На тот момент ожидалось, что N6 будет использовать EUVL в 5 слоях по сравнению с 4 слоями в их процессе N7+. [33]

28 июля 2019 года TSMC анонсировала свой второй процесс "7 нм" под названием N7P, который, как и их процесс N7, должен был быть основан на DUV. [34] Поскольку N7P был полностью совместим по IP с оригинальным "7 нм", в то время как N7+ (который использует EUV) не был, N7+ (анонсированный ранее как "7 нм+") должен был стать отдельным от "7 нм" процессом. N6 ("6 нм"), еще один процесс на основе EUV, в то время планировалось выпустить позже, чем даже процесс TSMC "5 нм" (N5), с совместимостью по IP с N7. В своем отчете о доходах за первый квартал 2019 года TSMC повторила свое заявление за четвертый квартал 2018 года [31] , что на тот момент ожидалось, что N7+ принесет менее 1 миллиарда тайваньских долларов дохода в 2019 году. [35] [ требуется обновление ]

5 октября 2019 года AMD анонсировала свою дорожную карту EPYC , включающую чипы Milan, созданные с использованием процесса TSMC N7+. [36] [ требуется обновление ]

7 октября 2019 года компания TSMC объявила о начале поставок продукции N7+ на рынок в больших объемах. [37] [ требуется обновление ]

26 июля 2021 года Intel объявила о своей новой дорожной карте производства, переименовав все свои будущие технологические узлы. [27] «10-нм» Enhanced SuperFin (10ESF) от Intel, который был примерно эквивалентен процессу N7 от TSMC, с этого момента будет называться «Intel 7», в то время как их более ранний «7-нм» процесс раньше назывался «Intel 4». [27] [38] В результате первые процессоры Intel на базе Intel 7 в то время планировалось начать поставлять ко второй половине 2022 года, [ нужно обновление ] тогда как Intel ранее объявляла, что планирует выпустить «7-нм» процессоры в 2023 году. [39] [ нужно обновление ]

Коммерциализация технологий

В июне 2018 года AMD объявила о запуске 7-нм графических процессоров Radeon Instinct во второй половине 2018 года. [40] В августе 2018 года компания подтвердила выпуск графических процессоров. [41]

21 августа 2018 года компания Huawei объявила о том, что чипсет HiSilicon Kirin 980 будет использоваться в смартфонах Huawei Mate 20 и Mate 20 Pro, изготовленных по 7-нм техпроцессу (N7) компании TSMC. [ требуется обновление ]

12 сентября 2018 года Apple анонсировала чип A12 Bionic , используемый в iPhone XS и iPhone XR, созданный с использованием 7-нм (N7) процесса TSMC. Процессор A12 стал первым 7-нм чипом для массового рынка, поскольку он был выпущен до Huawei Mate 20. [42] [43] 30 октября 2018 года Apple анонсировала чип A12X Bionic , используемый в iPad Pro, созданный с использованием 7-нм (N7) процесса TSMC. [44]

4 декабря 2018 года Qualcomm анонсировала свои Snapdragon 855 и 8cx, созданные с использованием 7-нм (N7) процесса TSMC. [45] Первым массовым продуктом с Snapdragon 855 стал Lenovo Z5 Pro GT, анонсированный 18 декабря 2018 года. [46]

29 мая 2019 года компания MediaTek анонсировала свою 5G SoC, созданную с использованием 7-нм техпроцесса TSMC. [47]

7 июля 2019 года компания AMD официально представила серию центральных процессоров Ryzen 3000, созданных на базе 7-нм техпроцесса TSMC и микроархитектуры Zen 2 .

6 августа 2019 года Samsung анонсировала свой Exynos 9825 SoC, первый чип, созданный с использованием их процесса 7LPP. Exynos 9825 — первый чип для массового рынка, созданный с использованием EUVL . [48]

6 сентября 2019 года компания Huawei анонсировала свои чипсеты HiSilicon Kirin 990 4G и 990 5G , созданные с использованием процессов TSMC N7 и N7+. [49]

10 сентября 2019 года Apple анонсировала чип A13 Bionic , используемый в iPhone 11 и iPhone 11 Pro, созданный с использованием процесса TSMC N7P второго поколения. [50]

Производство 7 нм (узлы N7) составило 36% выручки TSMC во втором квартале 2020 года. [51]

17 августа 2020 года IBM анонсировала свой процессор Power10 . [50]

26 июля 2021 года Intel объявила, что ее процессоры Alder Lake будут производиться с использованием их нового ребрендированного процесса «Intel 7», ранее известного как «10nm Enhanced SuperFin». [27] В то время, согласно пресс-релизам, ожидалось, что эти процессоры будут выпущены во второй половине 2021 года. [ требуется обновление ] Ранее компания подтвердила, что 7-нм семейство микропроцессоров, теперь называемое «Intel 4», [27] под названием Meteor Lake будет выпущено в 2023 году. [52] [53] [ требуется обновление ]

Трудности с выкройкой

Проблемы с разделением питча. Последовательное литографирование подвержено ошибкам наложения, а также ошибкам CD от разных экспозиций.
Проблемы с шаблонизацией спейсеров. Шаблонизация спейсеров обеспечивает превосходный контроль CD для элементов, непосредственно шаблонизированных спейсером, но пространства между спейсерами могут быть разделены на популяции сердцевины и зазоров.
Влияние ошибки наложения на разрез линии. Ошибка наложения на экспозицию разрезаемого отверстия может исказить концы линии (вверху) или нарушить соседнюю линию (внизу).
Проблемы с двухбарным EUV-шаблоном. В EUV-литографии пара элементов может не иметь оба элемента в фокусе одновременно; один будет иметь другой размер, и оба будут по-разному смещаться через фокус.
Вероятность стохастического отказа EUV 7 нм. Ожидалось, что "7 нм" особенности будут приближаться к ширине ~20 нм. Вероятность стохастического отказа EUV измеримо высока для обычно применяемой дозы 30 мДж/см 2 .

Ожидается, что узел литейного производства «7 нм» будет использовать любую из или комбинацию следующих технологий формирования шаблонов: расщепление шага , самосовмещенное формирование шаблонов и литография EUV . Каждая из этих технологий несет в себе значительные проблемы в контроле критических размеров (CD), а также в размещении шаблонов, все из которых затрагивают соседние элементы.

Разделение тональности

Разделение шага подразумевает разделение слишком близко расположенных друг к другу элементов на разные маски, которые экспонируются последовательно, а затем подвергаются обработке литографическим травлением. Из-за использования разных экспозиций всегда существует риск ошибки наложения между двумя экспозициями, а также разных компакт-дисков, возникающих из-за разных экспозиций.

Распределительный рисунок

Формирование шаблона проставки включает в себя нанесение слоя на предварительно сформированные элементы, а затем обратное травление для формирования прокладок на боковых стенках этих элементов, называемых основными элементами. После удаления основных элементов проставки используются в качестве маски травления для определения канавок в нижележащем слое. Хотя контроль CD проставки, как правило, превосходен, CD траншеи может попасть в одну из двух популяций из-за двух возможностей расположения там, где была расположена основная деталь, или в оставшемся зазоре. Это известно как «шаг шага». [54] Как правило, шаг = CD ядра + CD зазора + 2 * CD проставки, но это не гарантирует, что CD ядра = CD зазора. Для элементов FEOL , таких как изоляция затвора или активной области (например, ребра), CD траншеи не так критичен, как CD, определяемый проставкой, и в этом случае формирование шаблона проставки фактически является предпочтительным подходом к формированию шаблона.

При использовании самовыравнивающегося четверного паттерна (SAQP) используется второй спейсер, который заменяет первый. В этом случае основной CD заменяется основным CD - 2* 2-й спейсерный CD, а зазорный CD заменяется зазорным CD - 2 * 2-й спейсерный CD. Таким образом, некоторые размеры элементов строго определяются вторым спейсерным CD, в то время как остальные размеры элементов определяются основным CD, шагом сердечника и первым и вторым спейсерными CD. Основной CD и шаг сердечника определяются обычной литографией, в то время как спейсерные CD не зависят от литографии. На самом деле ожидается, что это будет иметь меньше вариаций, чем разделение шага, где дополнительная экспозиция определяет свой собственный CD, как напрямую, так и через наложение.

Линии, определенные спейсером, также требуют резки. Места резки могут смещаться при экспонировании, что приводит к искажению концов линий или вторжениям в соседние линии.

Для создания шаблонов BEOL «7 нм» была реализована технология самовыравнивающегося литографического травления-литографического травления (SALELE). [55]

EUV-литография

Литография в экстремальном ультрафиолете (также известная как EUV или EUVL ) способна разрешать элементы размером менее 20 нм в обычном стиле литографии. Однако трехмерная отражательная природа маски EUV приводит к новым аномалиям в изображении. Одной из особых неприятностей является эффект двух полос, когда пара идентичных полосовых элементов не фокусируется одинаково. Один элемент по сути находится в «тени» другого. Следовательно, два элемента обычно имеют разные CD, которые изменяются через фокус, и эти элементы также меняют положение через фокус. [56] [57] [58] Этот эффект может быть похож на тот, который можно встретить при разделении шага. Связанная с этим проблема заключается в разнице наилучшего фокуса между элементами с разным шагом. [59]

EUV также имеет проблемы с надежной печатью всех признаков в большой популяции; некоторые контакты могут полностью отсутствовать или линии могут быть перекрыты. Это известно как стохастические сбои печати. ​​[60] [61] Уровень дефекта составляет порядка 1К/мм 2 . [62]

Зазор между кончиками трудно контролировать для EUV, в основном из-за ограничений освещения. [63] Предпочтительнее использовать отдельную экспозицию(и) для линий разреза.

Маски с ослабленным фазовым сдвигом использовались в производстве для узла 90 нм для адекватных окон фокусировки для произвольно расположенных контактов с длиной волны лазера ArF (193 нм), [64] [65] , тогда как это улучшение разрешения недоступно для EUV. [66] [67]

На конференции SPIE 2021 года по EUV-литографии клиент TSMC сообщил, что выход EUV-контакта сопоставим с выходом иммерсионного мультишаблона. [68]

Сравнение с предыдущими узлами

Из-за этих проблем «7 нм» создает беспрецедентную сложность шаблонизации в задней части линии (BEOL). Предыдущий крупносерийный, долгоживущий литейный узел (Samsung «10 нм», TSMC «16 нм») использовал разделение шага для более плотных металлических слоев. [69] [70] [71]

Время цикла: погружение против EUV

Поскольку инструменты иммерсии в настоящее время стали быстрее, мультипаттернинг по-прежнему используется на большинстве слоев. На слоях, требующих иммерсионного квадро-паттернинга, пропускная способность завершения слоя с помощью EUV сопоставима. На других слоях иммерсия была бы более производительной при завершении слоя даже с мультипаттернингом.

Управление правилами проектирования в серийном производстве

Металлический рисунок «7 нм», который в настоящее время практикуется TSMC, включает линии самовыравнивающегося двойного рисунка (SADP) с надрезами, вставленными в ячейку на отдельной маске по мере необходимости для уменьшения высоты ячейки. [74] Однако самовыравнивающийся четырехугольный рисунок (SAQP) используется для формирования ребра, самого важного фактора для производительности. [75] Проверки правил проектирования также позволяют избегать многослойного рисунка и обеспечивают достаточные зазоры для надрезов, так что требуется только одна маска надреза. [75]

Узлы процесса и предложения процесса

Наименование узлов процесса четырьмя различными производителями (TSMC, Samsung, SMIC , Intel) частично обусловлено маркетинговыми соображениями и не связано напрямую с каким-либо измеримым расстоянием на чипе — например, узел TSMC «7 нм» ранее был похож по некоторым ключевым параметрам на запланированный Intel узел первой итерации «10 нм», прежде чем Intel выпустила дальнейшие итерации, завершившиеся «10 нм Enhanced SuperFin», который позже был переименован в «Intel 7» по маркетинговым причинам. [76] [77]

Поскольку реализация EUV на уровне "7 нм" все еще ограничена, многошаблонность все еще играет важную роль в стоимости и выходе; EUV добавляет дополнительные соображения. Разрешение для большинства критических слоев все еще определяется многошаблонностью. Например, для "7 нм" Samsung, даже с EUV одинарными слоями шага 36 нм, слои шага 44 нм все еще будут иметь четырехшаблонную структуру. [78]

Процесс GlobalFoundries «7 нм» 7LP (Leading Performance) мог бы предложить на 40% более высокую производительность или на 60% более низкую мощность с 2-кратным масштабированием плотности и на 30-45% более низкой стоимостью за кристалл по сравнению с его «14 нм» процессом. Контактный шаг поли (CPP) был бы 56 нм, а минимальный шаг металла (MMP) был бы 40 нм, произведенный с помощью самовыравнивающегося двойного шаблона (SADP). Ячейка SRAM 6T имела бы размер 0,269 квадратных микрон. GlobalFoundries планировала в конечном итоге использовать литографию EUV в улучшенном процессе под названием 7LP+. [100] Позже GlobalFoundries прекратила все разработки «7 нм» и более поздних процессов. [101]

Новый процесс Intel "Intel 7", ранее известный как "10nm Enhanced SuperFin" (10ESF), основан на его предыдущем узле "10nm". Узел будет отличаться 10-15% увеличением производительности на ватт . Между тем, их старый процесс "7nm", теперь называемый "Intel 4", в то время должен был быть выпущен в 2023 году. [102] [ требуется обновление ] В то время было обнародовано немного подробностей об узле "Intel 4", хотя в то время его плотность транзисторов оценивалась как минимум в 202 миллиона транзисторов на квадратный миллиметр. [27] [103] [ требуется обновление ] По состоянию на 2020 год Intel испытывала проблемы со своим процессом "Intel 4" вплоть до аутсорсинга производства своих графических процессоров Ponte Vecchio. [104] [105] [ требуется обновление ]

Ссылки

  1. ^ "Международная дорожная карта для устройств и систем 2021 Обновление: литография" (PDF) . Международная дорожная карта для устройств и систем . 7 апреля 2024 г.
  2. ^ abcde "7nm Technology". TSMC . Получено 30 июня 2019 г. .
  3. ^ ab Chen, Monica; Shen, Jessie (22 июня 2018 г.). «TSMC наращивает производство 7-нм чипов». DigiTimes . Получено 17 сентября 2022 г. .
  4. ^ Субраманиам, Вайдьянатан (27 июля 2021 г.). «Intel раскрывает подробности новых технологических инноваций и названия узлов, Alder Lake 10 нм Enhanced SuperFin теперь называется Intel 7; Intel 20A — это 2 нм процесс 2024 года». Проверка ноутбуков .
  5. ^ Моррис, Кевин (23 июля 2020 г.). «Больше никаких нанометров: пришло время для новых названий узлов». Electronic Engineering Journal . Получено 17 сентября 2022 г. .
  6. ^ Шукла, Приянк. "Краткая история эволюции узлов процессов". Design-Reuse . Получено 9 июля 2019 г.
  7. ^ Hruska, Joel (23 июня 2014 г.). «14 нм, 7 нм, 5 нм: насколько низко может опуститься КМОП? Это зависит от того, спросите ли вы инженеров или экономистов…». ExtremeTech . Получено 17 сентября 2022 г.
  8. ^ Pirzada, Usman (16 сентября 2016 г.). «Эксклюзив: действительно ли Intel начинает терять свое лидерство в технологическом процессе? 7-нм узел запланирован к выпуску в 2022 году». Wccftech . Получено 17 сентября 2022 г.
  9. ^ Шенкленд, Стивен (12 сентября 2018 г.). «Процессор Apple A12 Bionic для нового iPhone XS опережает переход отрасли на технологию производства чипов 7 нм». CNET . Получено 16 сентября 2018 г. .
  10. ^ Саммерс, Н. (12 сентября 2018 г.). «A12 Bionic от Apple — первый 7-нанометровый чип для смартфонов». Engadget . Получено 20 сентября 2018 г.
  11. ^ "AMD запускает Epyc Rome, первый 7-нм процессор". 8 августа 2019 г. Архивировано из оригинала 2019-08-15.
  12. ^ Смит, Райан (26 июля 2018 г.). "Процессоры AMD "Rome" EPYC будут производиться TSMC". AnandTech . Получено 18 июня 2019 г. .
  13. ^ "IBM заявляет о самом маленьком в мире кремниевом транзисторе - TheINQUIRER". Theinquirer.net . 2002-12-09. Архивировано из оригинала 31 мая 2011 г. Получено 7 декабря 2017 г.
  14. ^ Дорис, Брюс Б.; Докумачи, Омер Х.; Ионг, Мейкей К.; Мокута, Анда; Чжан, Ин; Канарски, Томас С.; Рой, РА (декабрь 2002 г.). «Экстремальное масштабирование с использованием ультратонких Si-каналов MOSFET». Сборник. Международная встреча по электронным приборам . С. 267–270. doi :10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2. S2CID  10151651.
  15. ^ "NEC тестирует самый маленький в мире транзистор". Бесплатная библиотека . Получено 7 декабря 2017 г.
  16. ^ Вакабаяси, Хитоши; Ямагами, Сигэхару; Икезава, Нобуюки; Огура, Ацуши; Нарихиро, Мицуру; Арай, К.; Очиаи, Ю.; Такеучи, К.; Ямамото, Т.; Могами, Т. (декабрь 2003 г.). «Устройства планарно-объемной КМОП суб10 нм, использующие управление боковым переходом». Международная конференция IEEE по электронным устройствам, 2003 г. стр. 20.7.1–20.7.3. doi :10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID  2100267.
  17. ^ Дигнан, Ларри. «IBM Research создает функциональный 7-нм процессор». ZDNet .
  18. ^ Маркофф, Джон (9 июля 2015 г.). «IBM раскрывает рабочую версию чипа гораздо большей емкости». The New York Times .
  19. ^ "За пределами кремния: IBM представляет первый в мире 7-нм чип – Ars Technica". arstechnica.com . 9 июля 2015 г.
  20. ^ "Семь достижений для чипов сверх 7 нм". Блог IBM Research . 27 февраля 2017 г.
  21. ^ Chang, J.; Chen, Y.; Chan, W.; Singh, SP; Cheng, H.; Fujiwara, H.; Lin, J.; Lin, K.; Hung, J.; Lee, R.; Liao, H. (февраль 2017 г.). "12.1 7 нм 256 Мб SRAM в технологии high-k metal-gate FinFET с схемой поддержки записи для приложений с низким VMIN". Международная конференция IEEE по твердотельным схемам (ISSCC) 2017 г. . стр. 206–207. doi :10.1109/ISSCC.2017.7870333. ISBN 978-1-5090-3758-2. S2CID  19930825.
  22. ^ "Intel продвигается к 10 нм, откажется от кремния на 7 нм". 23 февраля 2015 г.
  23. ^ Пэриш, Кевин (20 апреля 2016 г.). «Остерегайтесь Intel и Samsung: TSMC готовится к 7-нм обработке с пробным производством». Digital Trends . Получено 17 сентября 2022 г. .
  24. ^ "TSMC Tips 7+, 12, 22nm Nodes | EE Times". EETimes . Получено 2017-03-17 .
  25. ^ Шилов, Антон (5 мая 2017 г.). «Дорожные карты Samsung и TSMC: добавлены 8 и 6 нм, рассматриваем 22ULP и 12FFC». AnandTech . Получено 17 сентября 2022 г. .
  26. ^ "GLOBALFOUNDRIES представит передовое в отрасли предложение по технологии FinFET 7 нм". GlobalFoundries (пресс-релиз). 15 сентября 2016 г. Получено 8 апреля 2017 г.
  27. ^ abcdefgh Катресс, Ян. «Дорожная карта процессов Intel до 2025 года: с 4 нм, 3 нм, 20A и 18A?!». www.anandtech.com . Получено 27 июля 2021 г.
  28. ^ "Intel поддерживает американские инновации, инвестируя 7 миллиардов долларов в завод по производству полупроводников нового поколения в Аризоне". Intel Newsroom . 8 февраля 2017 г. Получено 17 сентября 2022 г.
  29. ^ Кинг, Ян (22 мая 2018 г.). «Samsung заявляет, что производство новых 7-нанометровых чипов начнется в этом году». Bloomberg . Получено 17 сентября 2022 г. .
  30. ^ Дент, Стив (28 августа 2018 г.). «Крупный поставщик чипов AMD больше не будет производить чипы следующего поколения». Engadget . Получено 17 сентября 2022 г. .
  31. ^ Стенограмма конференции по доходам TSMC за четвертый квартал 2018 года, 17 января 2019 года.
  32. ^ ab Schor, David (16 апреля 2019 г.). "TSMC объявляет о 6-нанометровом процессе". WikiChip Fuse . Получено 31 мая 2019 г. .
  33. ^ Шилов, Антон (1 мая 2019 г.). «TSMC: Большинство клиентов 7 нм перейдут на 6 нм». AnandTech . Получено 31 мая 2019 г. .
  34. ^ ab Schor, David (28 июля 2019 г.). «TSMC Talks 7nm, 5nm, Yield, And Next-Gen 5G And HPC Packaging». WikiChip Fuse . Получено 13 сентября 2019 г. .
  35. ^ CC Wei, стенограмма конференции по доходам TSMC за первый квартал 2019 года (18 апреля).
  36. ^ Alcorn, Paul (5 октября 2019 г.). "AMD Dishes on Zen 3 and Zen 4 Architecture, Milan and Genoa Roadmap". Tom's Hardware . Получено 8 октября 2019 г.
  37. ^ «Технология N7+ от TSMC — первый процесс EUV, поставляющий продукцию заказчиков на рынок в больших объемах | Planet 3DNow!» (на немецком языке). 7 октября 2019 г. Получено 08.10.2019 .
  38. ^ «Ускорение инноваций в процессах» (PDF) . Intel . 26 июля 2021 г.
  39. ^ Джонс, Райан (27 марта 2021 г.). «Ctrl+Alt+Delete: почему вы должны быть рады 7-нм процессору Intel». Trusted Reviews . Получено 30 марта 2021 г. .
  40. ^ «Раздвигая границы для центральных и графических процессоров, AMD демонстрирует лидерство в области продуктов следующего поколения Ryzen, Radeon и EPYC на выставке Computex 2018» (пресс-релиз). 5 июня 2018 г.
  41. ^ Мартин, Дилан (23 августа 2018 г.). «AMD CTO: „Мы пошли ва-банк“ на 7-нм процессоры». CRN . Получено 17 сентября 2022 г. .
  42. ^ «Apple анонсирует «iPhone Xs» и «iPhone Xs Max» с золотым цветом, более быстрой функцией Face ID и многим другим». 12 сентября 2018 г.
  43. ^ Freedman, Andrew E. (12 сентября 2018 г.). «Apple представляет 7-нм процессор A12 Bionic для iPhone XS». Tom's Hardware . Получено 12 сентября 2018 г.
  44. ^ Axon, Samuel (7 ноября 2018 г.). «Apple проводит Ars по системе A12X на чипе iPad Pro». Ars Technica . Получено 18 ноября 2018 г.
  45. ^ Кютресс, Ян (4 декабря 2018 г.). «Qualcomm Tech Summit, день 1: анонсирование партнерств 5G и Snapdragon 855». AnandTech . Получено 31 мая 2019 г. .
  46. ^ Фрумусану, Андрей (18 декабря 2018 г.). «Lenovo First to a Snapdragon 855 Phone with Announcement of Z5 Pro GT». AnandTech . Получено 31 мая 2019 г. .
  47. ^ "MediaTek 5G". MediaTek . Получено 31 мая 2019 г. .
  48. ^ Сиддики, Аамир (7 августа 2019 г.). «Samsung анонсирует Exynos 9825 до запуска Galaxy Note 10». XDA-Developers . Получено 13 сентября 2019 г. .
  49. ^ Кютресс, Ян. «Huawei анонсирует Kirin 990 и Kirin 990 5G: подход с двумя SoC, интегрированный модем 5G». AnandTech . Получено 13 сентября 2019 г. .
  50. ^ ab "IBM представляет процессор IBM POWER10 следующего поколения". IBM Newsroom . 17 августа 2020 г. . Получено 17 августа 2020 г. .
  51. ^ «TSMC разрабатывает агрессивный курс для 3-нм литографии и далее - ExtremeTech».
  52. ^ "Генеральный директор Intel объявляет о стратегии 'IDM 2.0' для производства и инноваций". Intel Newsroom . 23 марта 2021 г. Получено 17 сентября 2022 г.
  53. ^ "Intel Unleashed: Engineering the Future (Replay)". Intel Newsroom . 23 марта 2021 г. Получено 17 сентября 2022 г.
  54. ^ MJ Maslow et al., Proc. SPIE 10587, 1058704 (2018).
  55. ^ SALELE Двойной шаблон для узлов 7 нм и 5 нм
  56. ^ "Семинар IMEC EUVL 2018" (PDF) .
  57. ^ Y. Nakajima et al., Симпозиум EUVL 2007, Саппоро.
  58. ^ Л. де Винтер и др., Proc. ШПИЕ 9661, 96610А (2015 г.).
  59. ^ М. Буркхардт и А. Рагунатан, Proc. ШПИОН 9422, 94220X (2015 г.).
  60. ^ П. Де Бишоп и Э. Хендрикс, Proc. ШПИЕ 10583, 105831К (2018).
  61. ^ «Стохастическая долина смерти EUV». linkedin.com .
  62. ^ С. Ларивьер и др., Proc. ШПИЕ 10583, 105830У (2018 г.).
  63. ^ Э. ван Сеттен и др., Proc. ШПИЕ 9661. 96610G (2015).
  64. ^ Ч.Л. Чанг и др., Proc. SPIE 5377, 902 (2004).
  65. ^ Т. Девуавр и др., MTDT 2002.
  66. ^ СС. Ю и др., Proc. SPIE 8679, 86791L (2013).
  67. ^ А. Эрдманн и др., Proc. SPIE 10583, 1058312 (2018).
  68. ^ Ци Ли и др., Proc. ШПИЕ 11609, 116090В (2021 г.).
  69. ^ Jeong, WC; Ahn, JH; Bang, YS; Yoon, YS; Choi, JY; Kim, YC; Paek, SW; Ahn, SW; Kim, BS; Song, TJ; Jung, JH; Do, JH; Lim, SM; Cho, H.-; Lee, JH; Kim, DW; Kang, SB; Ku, J.-; Kwon, SD; Jung, S.-; Yoon, JS (23 июня 2017 г.). "10 нм технология BEOL 2-го поколения с оптимизированным освещением и LELELELE". Симпозиум по технологии СБИС 2017 г. стр. T144–T145. doi :10.23919/VLSIT.2017.7998156. ISBN 978-4-86348-605-8. S2CID  43207918 – через IEEE Xplore.
  70. ^ «Симпозиум TSMC: «10 нм готовы к началу проектирования в этот момент» — Аналитика отрасли — Блоги Cadence — Сообщество Cadence». community.cadence.com .
  71. ^ Wu, S.; Lin, CY; Chiang, MC; Liaw, JJ; Cheng, JY; Yang, SH; Liang, M.; Miyashita, T.; Tsai, CH; Hsu, BC; Chen, HY; Yamamoto, T.; Chang, SY; Chang, VS; Chang, CH; Chen, JH; Chen, HF; Ting, KC; Wu, YK; Pan, KH; Tsui, RF; Yao, CH; Chang, PR; Lien, HM; Lee, TL; Lee, HM; Chang, W.; Chang, T.; Chen, R.; Yeh, M.; Chen, CC; Chiu, YH; Chen, YH; Huang, HC; Lu, YC; Chang, CW; Tsai, MH; Liu, CC; Chen, KS; Kuo, CC; Lin, HT; Jang, SM; Ku, Y. (23 декабря 2013 г.). «16-нм технология FinFET CMOS для мобильных SoC и вычислительных приложений». Международная встреча IEEE по электронным приборам 2013 г. стр. 9.1.1–9.1.4. doi :10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9– через IEEE Xplore.
  72. ^ "Продукты и услуги - Поставки для полупроводниковой промышленности". asml.com .
  73. ^ ab "Samsung Ramps 7nm EUV Chips". EETimes . 17 октября 2018 г.
  74. ^ "7 нм литографический процесс - WikiChip".
  75. ^ ab "Эвристический подход к исправлению нарушений проверки правил проектирования (DRC) в проектах ASIC с технологией FinFET 7 нм". Проектирование и повторное использование .
  76. ^ Меррит, Рик (16 января 2017 г.). «15 взглядов с саммита по кремнию». EETimes . Получено 16 сентября 2022 г.
  77. ^ Хилл, Брэндон (28 марта 2017 г.). "Intel Details Cannonlake's Advanced 10nm FinFET Node, Claims Full Generation Lead Over Rivals". HotHardware . Архивировано из оригинала 12 июня 2018 г. . Получено 30 августа 2018 г. .
  78. ^ abc Дж. Ким и др., Proc. ШПИЕ 10962, 1096204 (2019).
  79. ^ "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip . 4 августа 2018 г. . Получено 16 сентября 2022 г. .
  80. ^ "Samsung Electronics начинает производство 7-нм LPP-процесса на основе EUV". Samsung Newsroom . 18 октября 2018 г. Получено 16 сентября 2022 г.
  81. ^ «Samsung начинает массовое производство на V1: специализированная фабрика EUV для узлов 7 нм, 6 нм, 5 нм, 4 нм, 3 нм».
  82. ^ МЭДМ 2016
  83. ^ "TSMC переводит Photon в облако". EETimes . 4 октября 2018 г.
  84. ^ Боншор, Гэвин (20 октября 2022 г.). «Обзор Intel Core i9-13900K и i5-13600K: Raptor Lake приносит больше укусов». AnandTech . Получено 28 сентября 2023 г. .
  85. ^ «Сможет ли TSMC сохранить лидерство в области технологических процессов». 18 июля 2023 г.
  86. ^ «Samsung 3nm GAAFET входит в рискованное производство; обсуждаются усовершенствования следующего поколения». 5 июля 2022 г.
  87. ^ ab Jones, Scotten (3 мая 2019 г.). "TSMC and Samsung 5nm Comparison". Semiwiki . Получено 30 июля 2019 г. .
  88. ^ «N3E заменяет N3; поставляется во многих вариантах». 4 сентября 2022 г.
  89. ^ Джонс, Скоттен (18 июля 2023 г.), Сможет ли TSMC сохранить лидерство в области технологических процессов
  90. ^ «План технологических процессов Intel до 2025 года: с 4 нм, 3 нм, 20A и 18A?!».
  91. ^ Шор, Дэвид (19.06.2022). «Взгляд на технологию процесса Intel 4». WikiChip Fuse .
  92. ^ SMIC производит массово 14 нм узлы, переходит на 5 нм, 7 нм, 16 сентября 2022 г.
  93. ^ Антон, Шилов (3 сентября 2023 г.). «Новый таинственный 7-нм чип Huawei из китайского завода бросает вызов санкциям США». Tom's Hardware . Получено 20 сентября 2024 г.
  94. ^ ab "VLSI 2018: 2-е поколение 7 нм, EUV от Samsung переходит на HVM". WikiChip Fuse . 2018-08-04 . Получено 2019-05-31 .
  95. ^ Смит, Райан (13 июня 2022 г.). «Подробности об узле Intel 4 Process: масштабирование плотности в 2 раза, повышение производительности на 20%». AnandTech . Получено 17 сентября 2022 г. .
  96. ^ "Транскрипт конференции по доходам TSMC за первый квартал 2018 года, стр. 12" (PDF) . Архивировано из оригинала (PDF) 14 октября 2018 г. . Получено 14 октября 2018 г. .
  97. ^ ab WC Jeong et al., Технология СБИС 2017.
  98. ^ Диллинджер, Том (23 марта 2017 г.). "10 главных обновлений симпозиума по технологиям TSMC, часть II". SemiWiki . Получено 16 сентября 2022 г. .
  99. ^ Пол Элкорн (21 июля 2022 г.). «Китайская SMIC поставляет 7-нм чипы, как сообщается, скопировав технологию TSMC». Tom's Hardware .
  100. ^ Джонс, Скоттен (8 июля 2017 г.). "Эксклюзив - GLOBALFOUNDRIES раскрывает подробности 7-нм процесса". SemiWiki . Получено 16 сентября 2022 г. .
  101. ^ Шилов, Антон; Катресс, Ян (27 августа 2018 г.). «GlobalFoundries Stops All 7nm Development: Opts To Focus on Specialized Processes» (Компания GlobalFoundries останавливает все разработки по техпроцессу 7 нм: делает ставку на специализированные процессы). AnandTech . Получено 27 июля 2021 г.
  102. ^ "Intel: Извините, но наши 7-нм чипы будут отложены до 2022, 2023 годов". PCMAG . Получено 27.07.2021 .
  103. ^ "7 нм литографический процесс - WikiChip".
  104. ^ «7-нм техпроцесс Intel отстает от графика на шесть месяцев — Новости».
  105. ^ «Поскольку график 7 нм продолжает отставать, Intel рассматривает возможность использования сторонних фабрик». 24 июля 2020 г.

Внешние ссылки