stringtranslate.com

Полупроводниковое ядро ​​интеллектуальной собственности

В электронном дизайне полупроводниковое ядро ​​интеллектуальной собственности ( SIP-ядро ), IP-ядро или IP-блок представляет собой многократно используемую единицу логической конструкции, ячейки или компоновки интегральной схемы , которая является интеллектуальной собственностью одной стороны. IP-ядра могут быть переданы по лицензии другой стороне или принадлежать и использоваться одной стороной. Этот термин происходит от лицензирования патента или авторских прав на исходный код , который существует в конструкции. Разработчики систем на кристалле (SoC), специализированных интегральных схем (ASIC) и систем логики программируемой вентильной матрицы (FPGA) могут использовать IP-ядра в качестве строительных блоков.

История

Лицензирование и использование IP-ядер при проектировании микросхем стало обычной практикой в ​​1990-х годах. [1] На рынке было много лицензиаров, а также множество литейных предприятий . В 2013 году наиболее широко лицензируемые IP-ядра были у Arm Holdings (доля рынка 43,2%), Synopsys Inc. (доля рынка 13,9%), Imagination Technologies (доля рынка 9%) и Cadence Design Systems (доля рынка 5,1%). [2]

Типы IP-ядер

Использование IP-ядра при проектировании микросхем можно сравнить с использованием библиотеки для компьютерного программирования или дискретного компонента интегральной схемы для проектирования печатной платы . Каждый из них представляет собой многократно используемый компонент логики проектирования с определенным интерфейсом и поведением , проверенным его создателем и интегрированным в более крупный проект.

Мягкие сердечники

IP-ядра обычно предлагаются в виде синтезируемых RTL на языке описания оборудования , таком как Verilog или VHDL . Они аналогичны языкам низкого уровня, таким как C, в области компьютерного программирования. IP-ядра, поставляемые разработчикам микросхем в формате RTL, позволяют разработчикам микросхем изменять конструкции на функциональном уровне, хотя многие поставщики IP не предоставляют никаких гарантий или поддержки для измененных конструкций. [ нужна цитата ]

IP-ядра также иногда предлагаются в виде общих списков соединений уровня шлюза . Список соединений представляет собой представление логической функции IP в виде булевой алгебры, реализованное в виде общих вентилей или стандартных ячеек, специфичных для процесса . IP-ядро, реализованное в виде универсальных вентилей, может быть скомпилировано для любого технологического процесса. Список соединений уровня шлюза аналогичен списку ассемблерного кода в области компьютерного программирования. Список соединений дает поставщику IP-ядра разумную защиту от обратного проектирования. См. также: Защита топологии интегральных схем .

И список соединений, и синтезируемые ядра называются программными ядрами, поскольку оба позволяют выполнять процесс проектирования синтеза , размещения и маршрутизации ( SPR ).

Твердые ядра

Аппаратные ядра (или жесткие макросы) — это аналоговые или цифровые IP-ядра, функции которых не могут быть существенно изменены разработчиками микросхем. Обычно они определяются как физическое описание более низкого уровня, специфичное для конкретной технологии процесса. Жесткие ядра обычно обеспечивают лучшую предсказуемость временных характеристик чипа и области применения конкретной технологии. [ нужна цитата ]

Аналоговая логика и логика смешанных сигналов обычно распределяются в виде жестких ядер. Следовательно, аналоговые IP ( SerDes , PLL , DAC , ADC , PHY и т. д.) предоставляются производителям микросхем в формате транзисторной компоновки (например, GDSII ). Цифровые IP-ядра иногда также предлагаются в формате макета.

Компоновка низкоуровневых транзисторов должна соответствовать правилам технологического проектирования целевого литейного производства . Таким образом, жесткие ядра, поставляемые для одного литейного процесса, не могут быть легко перенесены на другой процесс или литейный завод. Операторы литейных предприятий (такие как IBM , Fujitsu , Samsung , TI и т. д.) предлагают различные IP-функции с жесткими макросами, созданные для их собственных литейных процессов, помогая обеспечить привязку к клиентам .

Источники IP-ядер

Лицензионный функционал

Многие из наиболее известных IP-ядер представляют собой программные микропроцессоры . Их наборы команд варьируются от небольших 8-битных процессоров, таких как 8051 и PIC , до 32-битных и 64-битных процессоров, таких как архитектуры ARM или архитектуры RISC-V . Такие процессоры составляют «мозг» многих встраиваемых систем . Обычно это наборы инструкций RISC, а не наборы инструкций CISC, такие как x86 , поскольку требуется меньше логики. Поэтому конструкции имеют меньшие размеры. Кроме того, лидеры x86 Intel и AMD строго защищают интеллектуальную собственность своих процессоров и не используют эту бизнес-модель для своих линеек микропроцессоров x86-64 .

IP-ядра также лицензируются для различных периферийных контроллеров, таких как PCI Express , SDRAM , Ethernet , ЖК-дисплей , аудио AC'97 и USB . Многие из этих интерфейсов требуют как цифровой логики, так и аналоговых IP-ядер для управления и приема сигналов с высокой скоростью, высоким напряжением или высоким сопротивлением за пределами чипа.

«Жесткие» (в отличие от программно-программируемых программируемых микропроцессоров, описанных выше) IP-ядра цифровой логики также лицензируются для фиксированных функций, таких как декодирование аудио MP3 , 3D- графический процессор , кодирование/декодирование цифрового видео и другие функции DSP, такие как FFT , DCT или Кодирование Витерби .

Продавцы

Размер основных разработчиков и лицензиаров интеллектуальной собственности варьируется от частных лиц до многомиллиардных корпораций. Разработчики, а также их заказчики-производители чипов расположены по всему миру.

Silicon Intellectual Property ( SIP , Silicon IP ) — это бизнес-модель для компании, производящей полупроводники, при которой она передает заказчику лицензию на свою технологию как интеллектуальную собственность . Компания с такой бизнес-моделью — это полупроводниковая компания без собственных производственных мощностей , которая не предоставляет физические чипы своим клиентам, а просто способствует разработке чипов заказчиком, предлагая определенные функциональные блоки. Обычно клиентами являются компании-производители полупроводников или разработчики модулей, занимающиеся собственными разработками полупроводников. Компания, желающая изготовить сложное устройство, может лицензировать права на использование хорошо проверенных функциональных блоков другой компании, таких как микропроцессор , вместо разработки собственной конструкции, что потребует дополнительного времени и затрат.

Индустрия кремниевой интеллектуальной собственности демонстрирует стабильный рост на протяжении многих лет. К наиболее успешным компаниям Silicon IP, часто называемым Star IP, относятся ARM Holdings и Synopsys . По оценкам Gartner Group, общий объем продаж, связанных с интеллектуальной собственностью на основе кремния, в 2005 году составил 1,5 миллиарда долларов США, при этом ожидается ежегодный рост примерно на 30%. [3] [ нужно обновить ]

IP-упрочнение

Укрепление интеллектуальной собственности — это процесс повторного использования проверенных разработок и создания быстрых решений для вывода на рынок с низким уровнем риска при производстве, обеспечивающих интеллектуальную собственность (IP) (или интеллектуальную собственность кремния) ядер конструкции.

Например, процессор цифровых сигналов (DSP) разрабатывается на основе программных ядер формата RTL и может быть ориентирован на различные технологии или разные заводы для получения различных реализаций. Процесс усиления защиты IP заключается в переходе от программного ядра к созданию повторно используемых аппаратных (аппаратных) ядер [ необходимы пояснения ] . Основным преимуществом такого жесткого IP являются его предсказуемые характеристики, поскольку IP был предварительно реализован, а также обеспечивает гибкость мягких ядер. Он может поставляться с набором моделей для моделирования и проверки.

Усилия по укреплению мягкой интеллектуальной собственности требуют использования качества целевой технологии, целей проектирования и методологии. Жесткий IP доказал свою эффективность в целевой технологии и применении. Например, говорят, что жесткое ядро ​​в формате GDS II очищается в DRC ( проверка правил проектирования ) и LVS (см. Схема и схема ). Т.е. может соответствовать всем правилам, необходимым для изготовления на конкретном литейном заводе. [4] [5]

Бесплатный и с открытым исходным кодом

Примерно с 2000 года OpenCores.org предлагает различные программные ядра, в основном написанные на VHDL и Verilog . Все эти ядра предоставляются по лицензиям на бесплатное программное обеспечение с открытым исходным кодом, таким как GNU General Public License или BSD-подобным лицензиям . [6] С 2010 года такие инициативы, как RISC-V, привели к значительному увеличению количества доступных IP-ядер (почти 50 к 2019 году [7] ). Это помогло расширить сотрудничество в разработке безопасных и эффективных проектов. [8]

Смотрите также

Рекомендации

  1. ^ Туоми, Илкка (4 декабря 2009 г.). «Будущее полупроводниковых архитектурных блоков интеллектуальной собственности в Европе». Репозиторий публикаций JRC . Проверено 2 августа 2023 г.
  2. Кларк, Питер (23 апреля 2014 г.). «Cadence входит в четверку лучших в рейтинге полуIP-ядра». EE Times Европа . Нет. Н/Д. Питер Кларк. Европейская деловая пресса SA. Архивировано из оригинала 2 августа 2014 года . Проверено 14 июля 2014 г.
  3. ^ Киат Сенг Йео, Ким Тан Нг, Чжи Хуэй Конг, Интеллектуальная собственность на интегральные схемы , J. Ross Publishing, 2010 ISBN 1-932159-85-1 
  4. ^ http://www.eettaiwan.com/ART_8800406094_480102_AN_71148c3a.HTM. Архивировано 4 августа 2009 г. на сайте Wayback Machine , усиление IP, eetTaiwan. Мертвая ссылка, 2011, 06, 30.
  5. ^ [1] Подробнее об усилении защиты IP. Организация (созданная правительством) предоставляет услуги по усилению защиты IP и интеграции IP. На китайском языке.
  6. ^ «Лицензирование :: OpenCores». opencores.org . Проверено 14 ноября 2019 г.
  7. ^ «Обзор ядер RISC-V и SoC» . Фонд RISC-V. Архивировано из оригинала 24 апреля 2020 года . Проверено 8 октября 2019 г.
  8. ^ Даунхауэр, Денис. «Значение ядер интеллектуальной собственности с открытым исходным кодом для развития Интернета вещей». Блог Интернета вещей . Делойт . Проверено 8 октября 2019 г.

Внешние ссылки