stringtranslate.com

Производство полупроводниковых приборов

Чистая комната Исследовательского центра Гленна НАСА

Производство полупроводниковых устройств — это процесс, используемый для производства полупроводниковых устройств , обычно интегральных схем (ИС), таких как компьютерные процессоры , микроконтроллеры и микросхемы памяти (такие как флэш-память NAND и DRAM ), которые присутствуют в повседневных электронных устройствах. Это многоэтапный фотолитографический и физико-химический процесс (с такими этапами, как термическое окисление , осаждение тонких пленок, ионная имплантация, травление), в ходе которого электронные схемы постепенно создаются на пластине , обычно изготовленной из чистого монокристаллического полупроводника. материал. Почти всегда используется кремний , но для специализированных применений используются различные соединения полупроводников .

Процесс изготовления осуществляется на узкоспециализированных заводах по производству полупроводников , также называемых литейными заводами или «фабриками» [1] , центральной частью которых является « чистая комната ». В более совершенных полупроводниковых устройствах, таких как современные узлы 14/10/7 нм , изготовление может занять до 15 недель, при этом в среднем по отрасли 11–13 недель . [2] Производство на передовых производственных мощностях полностью автоматизировано, а автоматизированные системы обработки материалов обеспечивают транспортировку пластин от машины к машине. [3]

Пластина часто имеет несколько интегральных схем, которые называются кристаллами, поскольку представляют собой кусочки, вырезанные из одной пластины. Отдельные штампы отделяются от готовой пластины в процессе, называемом разделением штампов , также называемом нарезкой пластин. Затем штампы могут подвергаться дальнейшей сборке и упаковке. [4]

На производственных предприятиях пластины транспортируются в специальных герметичных пластиковых коробках, называемых FOUP . [3] FOUP во многих фабриках содержат внутреннюю азотную атмосферу [5] [6] , которая помогает предотвратить окисление меди на пластинах. Медь используется в современных полупроводниках для проводки. [7] Внутренности технологического оборудования и ФОУП остаются чище, чем окружающий воздух в чистом помещении. Эта внутренняя атмосфера известна как мини-среда и помогает повысить производительность, то есть количество работающих устройств на пластине. Эта мини-среда находится внутри EFEM (модуля внешнего интерфейса оборудования) [8], который позволяет машине получать FOUP и вводить пластины из FOUP в машину. Кроме того, многие машины также обрабатывают пластины в среде чистого азота или вакуума, чтобы уменьшить загрязнение и улучшить контроль процесса. [3] Производственным предприятиям необходимо большое количество жидкого азота для поддержания атмосферы внутри производственного оборудования и FOUP, которые постоянно продуваются азотом. [5] [6] Также между FOUP и EFEM может быть установлена ​​воздушная завеса или сетка [9] , которая помогает уменьшить количество влаги, попадающей в FOUP, и повысить урожайность. [10] [11]

Компании, производящие машины, используемые в процессе промышленного изготовления полупроводников, включают ASML , Applied Materials , Tokyo Electron и Lam Research .

Размер функции

Размер элемента определяется шириной наименьших линий, которые можно нанести в процессе производства полупроводников. Это измерение известно как ширина линии. [12] [13] Нанесение рисунка часто относится к фотолитографии, которая позволяет определить дизайн или рисунок устройства на устройстве во время изготовления. [14] F 2 используется для измерения площади различных частей полупроводникового устройства в зависимости от размера элемента процесса производства полупроводников. Многие полупроводниковые устройства состоят из секций, называемых ячейками, и каждая ячейка представляет собой небольшую часть устройства, например ячейку памяти для хранения данных. Таким образом, F 2 используется для измерения площади, занимаемой этими ячейками или секциями. [15]

Конкретный полупроводниковый процесс имеет определенные правила относительно минимального размера (ширины или CD/критического размера) и пространства для элементов на каждом слое чипа. [16] Обычно новый полупроводниковый процесс имеет меньшие минимальные размеры и меньшее расстояние. В некоторых случаях это позволяет просто уменьшить размеры кристалла существующей конструкции микросхемы, чтобы снизить затраты, улучшить производительность [16] и увеличить плотность транзисторов (количество транзисторов на единицу площади) без затрат на новую конструкцию.

Ранние полупроводниковые процессы имели произвольные названия поколений (а именно, HMOS I/II/III/IV и CHMOS III/III-E/IV/V). Позже каждый процесс нового поколения стал известен как технологический узел [17] или технологический узел [18] [19] , обозначаемый минимальным размером элемента процесса в нанометрах (или исторически микрометрах ) длины транзисторного затвора процесса , например « Процесс 90 нм ». Однако с 1994 года этого не произошло [20] , а количество нанометров, используемых для обозначения технологических узлов (см. « Международную технологическую дорожную карту для полупроводников »), стало скорее маркетинговым термином, не имеющим стандартизированной связи с размерами функциональных элементов или с транзисторной плотностью (количество транзисторов на единицу площади). [21]

Первоначально длина затвора транзистора была меньше, чем предполагалось в названии технологического узла (например, узел 350 нм); однако в 2009 году эта тенденция изменилась. [20] Размеры элементов не могут иметь никакой связи с нанометрами (нм), используемыми в маркетинге. Например, прежний 10-нм техпроцесс Intel на самом деле имеет особенности (кончики ребер FinFET ) шириной 7 нм, поэтому 10-нм техпроцесс Intel аналогичен по плотности транзисторов 7-нм техпроцессу TSMC . Другой пример: 12- и 14-нм техпроцессы GlobalFoundries имеют схожие размеры элементов. [22] [23] [21]

История

20 век

Усовершенствованный тип технологии MOSFET , CMOS , был разработан Чи-Танг Са и Фрэнком Ванлассом из Fairchild Semiconductor в 1963 году. [24] [25] CMOS была коммерциализирована компанией RCA в конце 1960-х годов. [24] RCA коммерчески использовала КМОП для своих интегральных схем серии 4000 в 1968 году, начиная с техпроцесса 20  мкм, а затем постепенно масштабируясь до техпроцесса 10 мкм в течение следующих нескольких лет. [26] Многие первые производители полупроводниковых устройств разработали и построили собственное оборудование, такое как ионные имплантаторы. [27]

В 1963 году Гарольд М. Манасевит был первым, кто задокументировал эпитаксиальный рост кремния на сапфире , работая в подразделении Autonetics компании North American Aviation (ныне Boeing ). В 1964 году он опубликовал свои выводы вместе с коллегой Уильямом Симпсоном в Журнале прикладной физики . [28] В 1965 году К.В. Мюллер и П.Х. Робинсон изготовили MOSFET (полевой транзистор металл-оксид-полупроводник) с использованием процесса кремний-сапфир в лабораториях RCA . [29]

Производство полупроводниковых устройств с тех пор распространилось из Техаса и Калифорнии в 1960-х годах на остальной мир, включая Азию , Европу и Ближний Восток .

Размер пластин со временем увеличился с 25 мм в 1960 году до 50 мм в 1969 году, 100 мм в 1976 году, 125 мм в 1981 году, 150 мм в 1983 году и 200 мм в 1992 году. [30] [31]

В эпоху 2-дюймовых пластин их обрабатывали вручную с помощью пинцета и удерживали вручную в течение времени, необходимого для данного процесса. Пинцеты были заменены вакуумными палочками, поскольку они генерируют меньше частиц [32] , которые могут загрязнять пластины. Носители или кассеты для вафель, которые могут вмещать несколько пластин одновременно, были разработаны для перевозки нескольких пластин между этапами процесса, но пластины приходилось снимать с носителя по отдельности, обрабатывать и возвращать на носитель, поэтому для устранения необходимости были разработаны кислотостойкие носители. Это трудоемкий процесс, поэтому всю кассету с пластинами погружали в ванны для влажного травления и влажной очистки. Когда размеры пластин увеличивались до 100 мм, вся кассета часто не погружалась в воду, поскольку однородность и качество результатов по всей пластине становилось трудно контролировать. К тому времени, когда появились пластины диаметром 150 мм, кассеты уже не погружались в воду и использовались только в качестве носителей и держателей для хранения пластин, а для обработки пластин стала преобладать робототехника. При использовании пластин диаметром 200 мм ручная обработка кассет пластин становится рискованной, поскольку они тяжелее. [33]

В 1970-х годах несколько компаний перевели свою технологию производства полупроводников с биполярной на КМОП-технологию. [34] Оборудование для производства полупроводников считается дорогостоящим с 1978 года. [35]

В 1984 году KLA разработала первый автоматический прибор для проверки прицельной марки и фотомаски. [36] В 1985 году KLA разработала автоматический инструмент для контроля кремниевых пластин, который заменил ручной контроль с помощью микроскопа. [37]

В 1985 году компания STmicroelectronics изобрела BCD, также называемый BCDMOS, процесс производства полупроводников с использованием биполярных , CMOS и LDMOS устройств. [38] Его также можно сделать с помощью биполярных, CMOS и DMOS устройств. [39] Компания Applied Materials разработала первый практичный многокамерный или кластерный инструмент для обработки пластин — Precision 5000. [40]

До 1980-х годов физическое осаждение из паровой фазы было основным методом нанесения материалов на пластины, вплоть до появления химического осаждения из паровой фазы. [41] Оборудование с диффузионными насосами было заменено на оборудование с турбомолекулярными насосами, поскольку в последних не используется масло, которое часто загрязняет пластины при обработке в вакууме. [42]

Пластины диаметром 200 мм впервые были использованы для изготовления чипсов в 1990 году. Они стали стандартом до появления пластин диаметром 300 мм в 2000 году. [43] [44] Перемычки использовались при переходе от пластин диаметром 150 мм к пластинам 200 мм [45] и при переходе от пластин диаметром 200 мм к пластинам 300 мм. . [46] [47] Полупроводниковая промышленность приняла пластины большего размера, чтобы удовлетворить возросший спрос на чипы, поскольку пластины большего размера обеспечивают большую площадь поверхности на пластину. [48] ​​Со временем индустрия перешла на пластины диаметром 300 мм, что привело к принятию FOUP, [49] но многие продукты, которые не являются передовыми, по-прежнему производятся на пластинах диаметром 200 мм, такие как аналоговые микросхемы, радиочастотные микросхемы, силовые микросхемы, BCDMOS. и МЭМС-устройства. [50] Некоторые процессы, такие как очистка, [51] ионная имплантация, [52] [53] травление, [54] отжиг [55] и окисление [56], начали использовать обработку отдельных пластин вместо пакетной обработки пластин в целях улучшения воспроизводимость результатов. [57] [58] Аналогичная тенденция существовала и в производстве МЭМС. [59] В 1998 году компания Applied Materials представила Producer, кластерный инструмент, который имел камеры, сгруппированные попарно для обработки пластин, которые имели общий вакуум и линии подачи, но в остальном были изолированы, что было революционно для того времени, поскольку предлагало более высокую производительность, чем другие инструменты. кластерные инструменты без ущерба для качества благодаря изолированной конструкции камеры. [60] [45]

21-го века

Полупроводниковая промышленность сегодня является глобальным бизнесом. Ведущие производители полупроводников обычно имеют предприятия по всему миру. Samsung Electronics , крупнейший в мире производитель полупроводников, имеет предприятия в Южной Корее и США. Intel , второй по величине производитель, имеет предприятия в Европе и Азии, а также в США. TSMC , крупнейшая в мире литейная компания , имеет предприятия на Тайване, в Китае, Сингапуре и США. Qualcomm и Broadcom входят в число крупнейших компаний по производству полупроводников, не имеющих собственных производственных мощностей , и передают свое производство таким компаниям, как TSMC. [61] У них также есть объекты, разбросанные по разным странам. Поскольку среднее использование полупроводниковых устройств увеличилось, долговечность стала проблемой, и производители начали проектировать свои устройства так, чтобы они прослужили достаточно долго, и это зависит от рынка, для которого предназначено устройство. Особенно это стало проблемой на узле 10 нм. [62] [63]

Технология «кремний на изоляторе » (SOI) используется в одно-, двух-, четырех-, шести- и восьмиядерных процессорах AMD по техпроцессу 130, 90, 65, 45 и 32 нм, выпускаемых с 2001 года . В 2001 году использовалось множество мостовых инструментов, способных обрабатывать пластины диаметром от 200 до 300 мм, которые могли обрабатывать пластины диаметром 200 и 300 мм. [65] В то время 18 компаний могли производить чипы по передовому 130-нм техпроцессу. [66]

Ожидалось, что в 2006 году пластины диаметром 450 мм будут приняты на вооружение в 2012 году, а пластины диаметром 675 мм - к 2021 году. [67]

С 2009 года «узел» стал коммерческим названием в маркетинговых целях, обозначающим новые поколения технологических процессов, без какой-либо привязки к длине литника, шагу металла или шагу литника. [68] [69] [70] Например, 7-нм процесс GlobalFoundries был похож на 10-нм процесс Intel , поэтому традиционное понятие технологического узла стало размытым. [71] Кроме того, 10-нм техпроцессы TSMC и Samsung лишь немного плотнее 14-нм техпроцесса Intel по плотности транзисторов. На самом деле они гораздо ближе к 14-нм техпроцессу Intel, чем к 10-нм техпроцессу Intel (например, шаг ребер 10-нм техпроцесса Samsung точно такой же, как и у 14-нм техпроцесса Intel: 42 нм). [72] [73] Intel изменила название своего 10-нм процесса, чтобы позиционировать его как 7-нм процесс. [74] По мере того, как транзисторы становятся меньше, новые эффекты начинают влиять на проектные решения, такие как самонагрев транзисторов, а другие эффекты, такие как электромиграция, стали более очевидными после перехода на 16-нм узел. [75] [76]

В 2011 году Intel продемонстрировала полевые транзисторы Fin (FinFET), в которых затвор окружает канал с трех сторон, что позволяет повысить энергоэффективность и снизить задержку затвора — и, следовательно, повысить производительность — по сравнению с планарными транзисторами на узле 22 нм, поскольку планарные транзисторы которые имеют только одну поверхность, действующую как канал, начали страдать от эффектов коротких каналов. [77] [78] [79] [80] [81] Стартап под названием SuVolta создал технологию под названием Deeply Depleted Channel (DDC), чтобы конкурировать с транзисторами FinFET, в которых используются планарные транзисторы на узле 65 нм, которые очень слабо легированы. [82]

К 2018 году для возможной замены FinFET был предложен ряд транзисторных архитектур , большинство из которых были основаны на концепции GAAFET : [83] горизонтальные и вертикальные нанопровода, горизонтальные нанолистовые транзисторы [84] [85] (Samsung MBCFET, Intel Nanoribbon), вертикальный полевой транзистор (VFET) и другие вертикальные транзисторы, [86] [87] комплементарный полевой транзистор (CFET), многослойный полевой транзистор, вертикальные полевые транзисторы, FinFET с полупроводниковыми материалами III-V (III-V FinFET), [88] [ 89] несколько типов транзисторов с горизонтальным затвором, таких как нанокольцевые, шестиугольные, квадратные и круглые транзисторы с круглым затвором [90] и полевые транзисторы с отрицательной емкостью (NC-FET), в которых используются совершенно разные материалы. [91] FD-SOI рассматривался как потенциальная недорогая альтернатива FinFET. [92]

По состоянию на 2019 год 14-нм и 10-нм чипы находятся в массовом производстве Intel, UMC , TSMC, Samsung, Micron , SK Hynix , Toshiba Memory и GlobalFoundries, а 7-нм техпроцессы находятся в массовом производстве TSMC и Samsung, хотя их 7-нм техпроцесс определение узла аналогично 10-нанометровому процессу Intel. 5 -нанометровый процесс начал производиться компанией Samsung в 2018 году. [93] По состоянию на 2019 год узлом с самой высокой плотностью транзисторов  является 5- нанометровый узел N5 от TSMC , [94] с плотностью 171,3  миллиона транзисторов на квадратный миллиметр. [95] В 2019 году Samsung и TSMC объявили о планах по производству 3-нанометровых узлов. GlobalFoundries решила остановить разработку новых узлов за пределами 12 нанометров в целях экономии ресурсов, поскольку она определила, что создание нового завода для обработки заказов менее 12 нм выйдет за рамки финансовых возможностей компании. [96]

С 2020 по 2022 год наблюдался глобальный дефицит чипов . Во время дефицита, вызванного пандемией COVID-19, многие производители полупроводников запретили сотрудникам покидать территорию компании. [97] Многие страны предоставляют субсидии полупроводниковым компаниям на строительство новых заводов или фабрик. Многие компании пострадали от поддельных чипов. [98] Полупроводники стали жизненно важными для мировой экономики и национальной безопасности некоторых стран. [99] [100] [101] США попросили TSMC не производить полупроводники для китайской компании Huawei. [102] Были исследованы CFET-транзисторы, в которых NMOS- и PMOS-транзисторы располагаются друг над другом. Были оценены два подхода к созданию этих транзисторов: монолитный подход, при котором оба типа транзисторов создавались за один процесс, и последовательный подход, при котором два типа транзисторов создавались отдельно, а затем складывались друг в друга. [103]

Список шагов

Это список методов обработки, которые многократно используются при создании современного электронного устройства; этот список не обязательно подразумевает конкретный заказ или то, что все методы используются во время производства, поскольку на практике порядок и применяемые методы часто зависят от технологических предложений литейных заводов или от производителя интегрированных устройств (IDM) для их собственные продукты, и для полупроводникового устройства могут не потребоваться все методы. Оборудование для проведения этих процессов производится несколькими компаниями . Перед запуском завода по производству полупроводников все оборудование должно быть протестировано. [104] Эти процессы выполняются после проектирования интегральной схемы . Фабрика по производству полупроводников работает круглосуточно и без выходных [105] , и многие фабрики используют большое количество воды, в первую очередь для промывки чипов. [106]

Дополнительно могут быть выполнены такие этапы, как травление по Райту .

Прогресс миниатюризации и сравнение размеров узлов процесса производства полупроводников с некоторыми микроскопическими объектами и длинами волн видимого света

Предотвращение загрязнений и дефектов

Когда ширина элементов была намного больше, чем примерно 10 микрометров , чистота полупроводников не была такой большой проблемой, как сегодня при производстве устройств. В 1960-е годы рабочие могли работать над полупроводниковыми приборами в уличной одежде. [126] Поскольку устройства становятся все более интегрированными, чистые помещения должны стать еще чище. Сегодня на производственных предприятиях используется фильтрованный воздух под давлением , чтобы удалить даже мельчайшие частицы, которые могут оседать на пластинах и способствовать возникновению дефектов. Потолки чистых помещений для производства полупроводников оборудованы вентиляторными фильтрами (FFU), которые регулярно заменяют и фильтруют воздух в чистом помещении; Полупроводниковое основное оборудование также может иметь свои собственные FFU для очистки воздуха в EFEM оборудования, что позволяет оборудованию принимать пластины в FOUP. FFU в сочетании с фальшполом с решетками помогают обеспечить ламинарный поток воздуха, гарантируя, что частицы немедленно опускаются на пол и не остаются во взвешенном состоянии в воздухе из-за турбулентности. Рабочие предприятий по производству полупроводников обязаны носить костюмы для чистых помещений , чтобы защитить устройства от загрязнения людьми. [127] Для увеличения производительности FOUP и полупроводниковое основное оборудование могут иметь мини-среду с уровнем пыли класса 1 по ISO, а FOUP могут иметь еще более чистую микросреду. [11] [8] Блоки FOUP и SMIF изолируют пластины от воздуха в чистом помещении, увеличивая производительность, поскольку уменьшают количество дефектов, вызванных частицами пыли. Кроме того, на предприятиях в чистых помещениях должно находиться как можно меньше людей, чтобы облегчить поддержание чистоты помещений, поскольку люди, даже когда они носят костюмы для чистых помещений, выделяют большое количество частиц, особенно при ходьбе. [128] [127] [129]

Вафли

Типичная пластина изготавливается из чрезвычайно чистого кремния , который выращивается в монокристаллические цилиндрические слитки ( були ) диаметром до 300 мм (чуть менее 12 дюймов) с использованием процесса Чохральского . Эти слитки затем нарезают на пластины толщиной около 0,75 мм и полируют до получения очень ровной и плоской поверхности. В процессе производства пластины часто группируются в партии, которые представлены ФОУП, СМИФ или вафельной кассетой, которые являются вафленосителями. FOUP и SMIF можно транспортировать на производстве между машинами и оборудованием с помощью автоматизированной системы OHT (верхнего подъемного транспорта) AMHS (автоматизированной системы погрузочно-разгрузочных работ). [49] Помимо SMIF и FOUP, кассеты с пластинами могут быть помещены в коробку для пластин или коробку для переноски пластин. [130]

Обработка

При изготовлении полупроводниковых устройств различные этапы обработки делятся на четыре основные категории: нанесение, удаление, формирование рисунка и модификация электрических свойств.

Модификация электрических свойств теперь также распространяется на снижение диэлектрической проницаемости материала в изоляторах с низким κ за счет воздействия ультрафиолетового света при УФ-обработке (UVP). Модификация часто достигается путем окисления , которое может быть осуществлено для создания переходов полупроводник-изолятор, например, при локальном окислении кремния ( LOCOS ) для изготовления металлооксидных полевых транзисторов . Современные чипы имеют до одиннадцати или более уровней металла, получаемых за более чем 300 или более последовательных этапов обработки.

Рецепт в производстве полупроводников — это список условий, при которых пластина будет обрабатываться на определенном станке на этапе обработки во время производства. [146] Вариативность процесса является проблемой при обработке полупроводников, при которой пластины обрабатываются неравномерно или качество или эффективность процессов, выполняемых на пластине, неравномерны по всей поверхности пластины. [147]

Предварительная обработка (FEOL)

Обработка FEOL подразумевает формирование транзисторов непосредственно в кремнии . Необработанная пластина создается путем выращивания сверхчистого, практически бездефектного слоя кремния посредством эпитаксии . [148] [149] В наиболее совершенных логических устройствах перед этапом эпитаксии кремния выполняются трюки, позволяющие улучшить характеристики создаваемых транзисторов. Один метод включает в себя этап деформации , на котором осаждается вариант кремния, такой как кремний-германий (SiGe). После осаждения эпитаксиального кремния кристаллическая решетка несколько растягивается, что приводит к улучшению электронной подвижности. Другой метод, называемый технологией «кремний на изоляторе», предполагает введение изолирующего слоя между необработанной кремниевой пластиной и тонким слоем последующей эпитаксии кремния. Этот метод приводит к созданию транзисторов с уменьшенными паразитными эффектами . Полупроводниковое оборудование может иметь несколько камер, в которых пластины обрабатываются такими процессами, как осаждение и травление. Многие виды оборудования обрабатывают пластины между этими камерами во внутренней среде азота или вакуума для улучшения управления процессом. [3] Влажные стенды с резервуарами, содержащими химические растворы, исторически использовались для очистки и травления пластин. [150]

В узле 90 нм были введены транзисторные каналы, изготовленные с помощью технологии тензоинжиниринга, для улучшения тока возбуждения в PMOS-транзисторах за счет введения в транзистор областей с кремний-германием. То же самое было сделано в NMOS-транзисторах на узле 20 нм [114]

В 2007 году транзисторы HKMG (high-k/металлический затвор) были представлены Intel на узле 45 нм, которые заменили поликремниевые затворы, которые, в свою очередь, заменили технологию металлических затворов (алюминиевых затворов) [151] в 1970-х годах. [152] Диэлектрик с высоким коэффициентом k, такой как оксид гафния (HFO 2 ), заменил оксинитрид кремния (SiON), чтобы предотвратить большие токи утечки в транзисторе, одновременно позволяя продолжать масштабирование или сжатие транзисторов. Однако HFO 2 несовместим с поликремниевыми затворами, поэтому требуется использование металлического затвора. В производстве использовались два подхода: «gate-first» и «gate-last». «Сначала затвор» состоит из нанесения диэлектрика с высоким коэффициентом k, а затем металла затвора, такого как нитрид тантала, рабочая функция которого зависит от того, является ли транзистор NMOS или PMOS, осаждения поликремния, формирования рисунка линии затвора, имплантации ионов истока и стока, отжига легирующей примеси и силицидирования. поликремния, истока и стока. [153] [154] В памяти DRAM эта технология была впервые применена в 2015 году. [155]

Последний этап заключался в нанесении диэлектрика с высоким κ , создании фиктивных затворов, изготовлении источников и стоков путем ионного осаждения и отжига с примесью, нанесении «межуровневого диэлектрика (ILD)», а затем полировке и удалении фиктивных затворов для замены их на металл, рабочая функция которого зависела от того, был ли транзистор NMOS или PMOS, создавая таким образом металлический затвор. Третий процесс, полное силицидирование (FUSI) [156], не был реализован из-за производственных проблем. [157] Принцип Gate-first стал доминировать на узле 22/20 нм. [158] [159] HKMG был расширен с планарных транзисторов для использования в FinFET и нанолистовых транзисторах. [160] Вместо оксида гафния также можно использовать оксинитрид кремния-гафния. [161] [162] [3] [163] [164]

Начиная с узла 16/14 нм, для травления все чаще используется атомно-слоевое травление (ALE), поскольку оно обеспечивает более высокую точность, чем другие методы травления. В производстве обычно используется плазменный АПЭ, который удаляет материалы однонаправленно, создавая конструкции с вертикальными стенками. Термический ALE также можно использовать для изотропного удаления материалов во всех направлениях одновременно, но без возможности создания вертикальных стенок. Плазменный ALE изначально был принят для травления контактов в транзисторах, а начиная с 7-нм узла он также используется для создания транзисторных структур путем их травления. [113]

Оксид ворот и имплантаты

За предварительной разработкой поверхности следует выращивание диэлектрика затвора (традиционно диоксида кремния ), формирование рисунка затвора, формирование рисунка областей истока и стока и последующая имплантация или диффузия легирующих примесей для получения желаемых дополнительных электрических свойств. В устройствах динамической памяти с произвольным доступом (DRAM) в это время также изготавливаются накопительные конденсаторы , обычно расположенные над транзистором доступа (ныне несуществующий производитель DRAM Qimonda реализовал эти конденсаторы с выемками, выгравированными глубоко на поверхности кремния).

Внутренняя обработка (BEOL)

Металлические слои

После создания различных полупроводниковых устройств их необходимо соединить между собой для формирования желаемых электрических цепей. Это происходит в серии этапов обработки пластин, которые вместе называются BEOL (не путать с завершающим этапом изготовления чипов, который относится к этапам упаковки и тестирования). Обработка BEOL предполагает создание металлических соединительных проводов, изолированных диэлектрическими слоями. Изоляционный материал традиционно представлял собой SiO 2 или силикатное стекло , но в последнее время используются новые материалы с низкой диэлектрической проницаемостью , также называемые диэлектриками с низким κ (например, оксикарбид кремния), обычно обеспечивающие диэлектрическую проницаемость около 2,7 (по сравнению с 3,82 для SiO 2 ), хотя производителям микросхем предлагаются материалы с константами всего 2,2.

BEoL применяется с 1995 года на узлах 350 и 250 нм (узлы 0,35 и 0,25 микрона), тогда же стали применять химико-механическую полировку. В то время 2 металлических слоя для межсоединений, также называемые металлизацией [165], были новейшим достижением. [166]

Начиная с 22-нм узла, некоторые производители добавили новый процесс, называемый средней линией (MOL), который соединяет транзисторы с остальной частью межсоединения, выполненной в процессе BEoL. MOL часто основан на вольфраме и имеет верхний и нижний слои: нижний слой соединяет переходы транзисторов, а верхний слой представляет собой вольфрамовую заглушку, соединяющую транзисторы с межкомпонентным соединением. Intel в 10-нм узле представила технологию «контакт поверх активного затвора» (COAG), которая вместо размещения контакта для подключения транзистора рядом с затвором транзистора размещает его непосредственно над затвором транзистора для повышения плотности транзисторов. [167]

Межсоединение

Синтетическая деталь стандартной ячейки через четыре слоя планаризованного медного соединения, вплоть до поликремния (розовый), лунок (сероватый) и подложки (зеленый).

Исторически металлические проволоки состояли из алюминия . При таком подходе к проводке (часто называемом субтрактивным алюминием ) сначала наносятся поверхностные пленки алюминия, формируются рисунки, а затем травятся, оставляя изолированные провода. Затем на оголенные провода наносится диэлектрический материал. Различные металлические слои соединяются между собой путем травления отверстий (называемых « переходными отверстиями») в изолирующем материале, а затем нанесения в них вольфрама методом CVD с использованием гексафторида вольфрама ; этот подход все еще может использоваться (и часто используется) при изготовлении многих микросхем памяти, таких как динамическая память с произвольным доступом (DRAM), поскольку количество уровней межсоединений может быть небольшим (не более четырех). Алюминий иногда легировали медью для предотвращения рекристаллизации. Золото также использовалось в межсоединениях ранних чипов. [168]

Совсем недавно, когда количество уровней межсоединений для логики существенно увеличилось из-за большого количества транзисторов, которые теперь соединены между собой в современном микропроцессоре , временная задержка в проводке стала настолько значительной, что потребовала изменения материала проводки (от слой межсоединения из алюминия в медь ) [169] наряду с изменением диэлектрического материала в межсоединении (от диоксида кремния к новым изоляторам с низким κ ). [170] [171] Это повышение производительности также достигается за счет снижения затрат за счет обработки дамаска , которая исключает этапы обработки. По мере увеличения количества уровней межсоединений требуется планаризация предыдущих слоев, чтобы обеспечить плоскую поверхность перед последующей литографией. Без этого уровни становились бы все более искривленными, выходя за пределы глубины фокуса доступной литографии и, таким образом, мешая возможности создания узоров. CMP ( химико-механическая планаризация ) является основным методом обработки для достижения такой планаризации, хотя сухое обратное травление все еще иногда используется, когда количество уровней межсоединений не превышает трех. В медных межсоединениях используется электропроводящий барьерный слой, который предотвращает диффузию меди («отравление») в окружающую среду, часто состоящую из нитрида тантала. [172] [167] В 1997 году IBM первой внедрила медные межсоединения. [173]

В 2014 году компания Applied Materials предложила использовать кобальт в межсоединениях на узле 22 нм, который используется для герметизации медных межсоединений в кобальте для предотвращения электромиграции, заменяя нитрид тантала, поскольку в этом применении он должен быть толще, чем кобальт. [167] [174]

Тест пластины

Высокосерийный характер обработки пластин увеличил спрос на метрологию между различными этапами обработки. Например, метрология тонких пленок, основанная на эллипсометрии или рефлектометрии , используется для точного контроля толщины затворного оксида, а также толщины, показателя преломления и коэффициента гашения фоторезиста и других покрытий. [175] Метрологическое оборудование для испытаний пластин используется для проверки того, что пластины не были повреждены в результате предыдущих этапов обработки вплоть до испытания; если слишком много штампов на одной пластине вышли из строя, вся пластина утилизируется, чтобы избежать затрат на дальнейшую обработку. Виртуальная метрология использовалась для прогнозирования свойств пластин на основе статистических методов без проведения самих физических измерений. [1]

Тест устройства

После завершения процесса обработки полупроводниковые устройства или микросхемы подвергаются различным электрическим испытаниям, чтобы определить, правильно ли они функционируют. Процент устройств на пластине, которые работают правильно, называется доходом . Производители, как правило, скрывают свой выход [176] , но он может достигать 30 %, а это означает, что только 30 % чипов на пластине работают должным образом. Изменение процесса является одной из многих причин низкой производительности. Тестирование проводится для предотвращения сборки неисправных микросхем в относительно дорогие корпуса.

Выход часто, но не обязательно, зависит от размера устройства (кристалла или чипа). Например, в декабре 2019 года TSMC объявила о среднем выходе ~80% при пиковом выходе на пластину >90% для своих 5-нм тестовых чипов с размером кристалла 17,92 мм2 . Выход снизился до 32,0% при увеличении размера матрицы до 100 мм 2 . [177] Количество дефектов-убийц на пластине, независимо от размера кристалла, можно обозначить как плотность дефектов (или D 0 ) пластины на единицу площади, обычно см 2 .

Фабрика проверяет чипы на пластине с помощью электронного тестера, который прижимает крошечные зонды к чипу. Машина помечает каждый плохой чип каплей красителя. В настоящее время электронная маркировка красителем возможна, если данные испытаний пластин (результаты) заносятся в центральную компьютерную базу данных, а чипы «группируются» (т. е. сортируются в виртуальные корзины) в соответствии с заранее определенными пределами испытаний, такими как максимальные рабочие частоты/тактовые частоты, количество рабочих (полностью функциональных) ядер на чип и т. д. Полученные данные группирования можно отобразить в виде графика или записать в журнал на карте пластины, чтобы отслеживать производственные дефекты и отмечать дефектные чипы. Эту карту также можно использовать при сборке и упаковке пластин. Биннинг позволяет повторно использовать чипы, которые в противном случае были бы отклонены, в продуктах более низкого уровня, как в случае с графическими процессорами и центральными процессорами, увеличивая производительность устройства, особенно потому, что очень немногие чипы полностью функциональны (например, все ядра работают правильно). eFUSE можно использовать для отключения частей чипов, таких как ядра, либо потому, что они не работали должным образом во время объединения, либо в рамках сегментации рынка (использование одного и того же чипа для низкого, среднего и высокого уровня). Чипы могут иметь запасные части, позволяющие чипу полностью пройти тестирование, даже если у него есть несколько нерабочих частей.

Чипы также проверяются еще раз после упаковки, поскольку соединительные провода могут отсутствовать или аналоговые характеристики могут быть изменены из-за упаковки. Это называется «окончательным испытанием». Чипы также можно визуализировать с помощью рентгеновских лучей.

Обычно фабрика взимает плату за время тестирования, причем цены составляют порядка центов за секунду. Время тестирования варьируется от нескольких миллисекунд до нескольких секунд, а программное обеспечение для тестирования оптимизировано для сокращения времени тестирования. Тестирование на нескольких чипах (на нескольких площадках) также возможно, поскольку многие тестировщики имеют ресурсы для выполнения большинства или всех тестов параллельно и на нескольких чипах одновременно.

Чипы часто проектируются с «функциями тестируемости», такими как цепочки сканирования или « встроенная функция самотестирования », для ускорения тестирования и снижения затрат на тестирование. В некоторых конструкциях, в которых используются специализированные аналоговые производственные процессы, пластины также подвергаются лазерной обрезке во время испытаний, чтобы достичь плотно распределенных значений сопротивления, как указано в конструкции.

В хороших проектах стараются тестировать и статистически управлять углами (экстремальные характеристики кремния, вызванные высокой рабочей температурой в сочетании с экстремальными этапами обработки). Большинство конструкций рассчитаны как минимум на 64 угла.

Выход устройства

Выход устройства или выход кристалла — это количество рабочих чипов или кристаллов на пластине, выраженное в процентах, поскольку количество чипов на пластине (Die на пластину, DPW) может варьироваться в зависимости от размера чипов и диаметра пластины. Снижение выхода — это снижение выхода, которое исторически было вызвано в основном частицами пыли, однако с 1990-х годов снижение выхода в основном вызвано вариациями процесса, самого процесса и инструментов, используемых при производстве чипов, хотя пыль по-прежнему остается проблемой в производстве чипов. много старых фабрик. Частицы пыли оказывают все большее влияние на производительность, поскольку размеры элементов уменьшаются в результате внедрения новых процессов. Автоматизация и использование мини-сред внутри производственного оборудования, FOUP и SMIF, позволили снизить количество дефектов, вызванных частицами пыли. Выход устройства должен поддерживаться на высоком уровне, чтобы снизить цену продажи рабочих чипов, поскольку работающим чипам приходится платить за те чипы, которые вышли из строя, а также снизить стоимость обработки пластин. На урожайность также могут влиять конструкция и эксплуатация фабрики.

Для увеличения урожайности необходим строгий контроль над загрязнителями и производственным процессом. Загрязнения могут представлять собой химические загрязнители или частицы пыли. «Смертельные дефекты» — это дефекты, вызванные частицами пыли, которые вызывают полный выход из строя устройства (например, транзистора). Есть и безобидные дефекты. Частица должна быть 1/5 размера элемента, чтобы вызвать серьезный дефект. Таким образом, если диаметр элемента составляет 100 нм, частице достаточно иметь диаметр всего 20 нм, чтобы вызвать серьезный дефект. Электростатическое электричество также может отрицательно повлиять на урожайность. Химические загрязнители или примеси включают тяжелые металлы, такие как железо, медь, никель, цинк, хром, золото, ртуть и серебро, щелочные металлы, такие как натрий, калий и литий, а также такие элементы, как алюминий, магний, кальций, хлор, сера, углерод. и фтор. Важно, чтобы эти элементы не оставались в контакте с кремнием, поскольку они могут снизить выход продукции. Для удаления этих элементов из кремния можно использовать химические смеси; разные смеси эффективны против разных элементов.

Для оценки урожайности используется несколько моделей. Это модель Мерфи, модель Пуассона, биномиальная модель, модель Мура и модель Сидса. Не существует универсальной модели; модель необходимо выбирать исходя из фактического распределения выхода (расположения дефектных чипов). Например, модель Мерфи предполагает, что потеря выхода в большей степени происходит по краям пластины (нерабочие чипы сосредоточены на краях пластины), Модель Пуассона предполагает, что дефектные кристаллы распределены по пластине относительно равномерно, а модель Сидса предполагает, что дефектные кристаллы сгруппированы вместе. [178]

Производство штампов меньшего размера обходится дешевле (поскольку больше умещается на пластине, а пластины обрабатываются и оцениваются как единое целое) и может способствовать достижению более высоких выходов, поскольку у кристаллов меньшего размера меньше шансов иметь дефекты из-за меньшей площади поверхности на пластине. вафля. Однако меньшие по размеру матрицы требуют меньших характеристик для достижения тех же функций, что и более крупные матрицы, или превосходят их, а меньшие по размеру характеристики требуют уменьшения вариаций процесса и повышенной чистоты (уменьшения загрязнения) для поддержания высоких выходов. Метрологические инструменты используются для проверки пластин во время производственного процесса и прогнозирования выхода продукции, поэтому пластины, по прогнозам, имеющие слишком много дефектов, могут быть утилизированы, чтобы сэкономить на затратах на обработку. [176]

Подготовка матрицы

После испытания пластину обычно уменьшают по толщине в процессе, также известном как «обратная обработка», [179] «обратная обработка», «обратная шлифовка пластины» или «утончение пластины» [180] перед тем, как пластина надрезается и затем разбивается на отдельные штампы. , процесс, известный как нарезка пластин . Упаковываются только хорошие чипсы без маркировки.

Упаковка

Пластиковая или керамическая упаковка предполагает установку матрицы, соединение подушечек матрицы со штифтами на упаковке и герметизацию матрицы. Крошечные соединительные провода используются для соединения площадок с контактами. В «старые времена» (1970-е годы) провода прикреплялись вручную, но теперь эту задачу выполняют специализированные машины. Традиционно эти провода состоят из золота, ведущего к свинцовой рамке (произносится как «лид-рамка») из паяной меди; свинец ядовит, поэтому теперь RoHS требует использования «свинцовых рамок», не содержащих свинец .

Пакет Chip Scale (CSP) — еще одна технология упаковки. Пластиковый двухрядный корпус , как и большинство корпусов, во много раз больше, чем реальный кристалл, спрятанный внутри, тогда как чипы CSP имеют размер почти такого же кристалла; CSP может быть построен для каждого кристалла до того, как пластина будет нарезана кубиками.

Упакованные микросхемы проверяются повторно, чтобы убедиться, что они не были повреждены во время упаковки и что операция соединения кристалла с выводом была выполнена правильно. Затем лазер гравирует название и номера чипа на упаковке.

Опасные материалы

В процессе изготовления используются многие токсичные материалы. [181] К ним относятся:

Крайне важно, чтобы работники не подвергались непосредственному воздействию этих опасных веществ. Высокая степень автоматизации, распространенная в отрасли производства микросхем, помогает снизить риски воздействия. На большинстве производственных предприятий используются системы управления выхлопными газами, такие как мокрые скрубберы, камеры сгорания, нагреваемые поглотительные картриджи и т. д., чтобы контролировать риск для работников и окружающей среды.

Хронология коммерческих узлов MOSFET

Смотрите также

Рекомендации

  1. ^ аб Хендрик Пурвинс; Бернд Барак; Ахмед Наги; Райнер Энгель; Уве Хёкеле; Андреас Кек; Шрикант Черла; Бенджамин Ленц; Гюнтер Пфайфер; Курт Вайнцирль (2014). «Методы регрессии для виртуальной метрологии толщины слоя при химическом осаждении из паровой фазы». Транзакции IEEE/ASME по мехатронике . 19 (1): 1–8. дои : 10.1109/TMECH.2013.2273435. S2CID  12369827 . Проверено 9 ноября 2015 г.
  2. ^ «8 вещей, которые вы должны знать о воде и полупроводниках» . Водный риск Китая . 11 июля 2013 года . Проверено 21 января 2023 г.
  3. ^ abcde Ёсио, Ниши (2017). Справочник по технологии производства полупроводников . ЦРК Пресс.
  4. ^ Лей, Вэй-Шэн; Кумар, Аджай; Яламанчили, Рао (6 апреля 2012 г.). «Технологии разделения штампов для современной упаковки: критический обзор». Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 30 (4): 040801. Бибкод : 2012JVSTB..30d0801L. дои : 10.1116/1.3700230. ISSN  2166-2746.
  5. ^ Аб Ван, HP; Ким, Южная Каролина; Лю, Б. (2014). Усовершенствованная продувка FOUP с использованием диффузоров для применения с дверцами FOUP. 25-я ежегодная конференция SEMI по производству передовых полупроводников (ASMC 2014). стр. 120–124. дои : 10.1109/ASMC.2014.6846999. ISBN 978-1-4799-3944-2. S2CID  2482339.
  6. ^ Система FOUP / LPU ab 450 мм в передовых процессах производства полупроводников: исследование по минимизации содержания кислорода внутри FOUP при открытии двери. Совместный симпозиум по сотрудничеству в области электронного производства и проектирования (eMDC) 2015 г. и Международный симпозиум по производству полупроводников (ISSM) 2015 г.
  7. ^ Лин, Ти; Фу, Бен-Ран; Ху, Ши-Чэн; Тан, И-Хан (2018). «Предотвращение попадания влаги в унифицированную капсулу с передним открыванием (FOUP) с предварительной продувкой во время открытия двери в мини-окружении». Транзакции IEEE по производству полупроводников . 31 (1): 108–115. дои :10.1109/TSM.2018.2791985. S2CID  25469704.
  8. ^ аб Куре, Токуо; Ханаока, Хидео; Сугиура, Такуми; Накагава, Шинья (2007). «Технологии чистых помещений в эпоху мини-среды» (PDF) . Обзор Хитачи . 56 (3): 70–74. CiteSeerX 10.1.1.493.1460 . S2CID  30883737. Архивировано (PDF) из оригинала 1 ноября 2021 г. Проверено 1 ноября 2021 г. 
  9. ^ Ким, Сон Чан; Шелски, Грег (2016). Улучшение производительности продувки FOUP с использованием преобразователя потока EFEM. 2016 27-я ежегодная конференция SEMI по производству передовых полупроводников (ASMC). стр. 6–11. дои : 10.1109/ASMC.2016.7491075. ISBN 978-1-5090-0270-2. S2CID  3240442.
  10. ^ Беналькасар, Дэвид; Лин, Ти; Ху, Мин-Сюань; Али Заргар, Омид; Линь, Шао-Ю; Ши, Ян-Чэн; Леггетт, Грэм (2022). «Численное исследование влияния скорости потока продувки и воздушной завесы на проникновение влаги в унифицированную капсулу с передним отверстием (FOUP)». Транзакции IEEE по производству полупроводников . 35 (4): 670–679. дои : 10.1109/TSM.2022.3209221. S2CID  252555815.
  11. ^ Аб Лин, Ти; Али Заргар, Омид; Джуина, Оскар; Ли, Цзы-Чье; Сабусап, Декстер Линдон; Ху, Ши-Чэн; Леггетт, Грэм (2020). «Эффективность различных методов удаления влаги из унифицированной капсулы (FOUP) с передним открытием с помощью местной системы вытяжной вентиляции». Транзакции IEEE по производству полупроводников . 33 (2): 310–315. дои : 10.1109/TSM.2020.2977122. S2CID  213026336.
  12. ^ Ниси, Ёсио; Деринг, Роберт (19 декабря 2017 г.). Справочник по технологии производства полупроводников. ЦРК Пресс. ISBN 978-1-4200-1766-3.
  13. Мак, Крис (11 марта 2008 г.). Фундаментальные принципы оптической литографии: наука о микрообработке. Джон Уайли и сыновья. ISBN 978-0-470-72386-9.
  14. ^ Ламбрехтс, Винанд; Синха, Саураб; Абдалла, Джассем Ахмед; Принслу, Жако (13 сентября 2018 г.). Расширение закона Мура за счет передовых методов проектирования и обработки полупроводников. ЦРК Пресс. ISBN 978-1-351-24866-2.
  15. Ю, Шимэн (19 апреля 2022 г.). Полупроводниковые запоминающие устройства и схемы. ЦРК Пресс. ISBN 978-1-000-56761-8.
  16. ^ аб Ширрифф, Кен (июнь 2020 г.). «Сжатие кристалла: как Intel уменьшила процессор 8086» . Проверено 22 мая 2022 г.
  17. ^ «Общие характеристики технологий дорожной карты» (PDF) . Ассоциация полупроводниковой промышленности .
  18. ^ Шукла, Приянк. «Краткая история эволюции узла процесса». Проектирование и повторное использование .
  19. ^ "Технологический узел - WikiChip" . Архивировано из оригинала 12 ноября 2020 г. Проверено 20 октября 2020 г.
  20. ↑ Аб Мур, Сэмюэл К. (21 июля 2020 г.). «Лучший способ измерения прогресса в области полупроводников». IEEE Spectrum: Новости технологий, техники и науки . Проверено 22 мая 2022 г.
  21. ↑ Аб Ридли, Джейкоб (29 апреля 2020 г.). «10-нм Intel не больше, чем 7-нм AMD, вы просто неправильно измеряете». ПК-геймер . Архивировано из оригинала 28 октября 2020 года . Проверено 21 октября 2020 г.
  22. ^ Катресс, Ян. «Глубокий обзор Intel Cannon Lake и Core i3-8121U, изготовленный по 10-нм техпроцессу». АнандТех . Архивировано из оригинала 12 ноября 2020 г. Проверено 07.11.2020 .
  23. ^ «СБИС 2018: 12-нм ведущая производительность GlobalFoundries, 12LP» . 22 июля 2018 года. Архивировано из оригинала 7 апреля 2019 года . Проверено 20 октября 2020 г.
  24. ^ ab «1963: изобретена дополнительная конфигурация МОП-схемы» . Музей истории компьютеров . Архивировано из оригинала 23 июля 2019 года . Проверено 6 июля 2019 г.
  25. ^ Сах, Чи-Тан ; Ванласс, Фрэнк (февраль 1963 г.). «Нановаттная логика с использованием полевых металлооксидных полупроводниковых триодов». 1963 г. Международная конференция IEEE по твердотельным схемам. Сборник технических статей . Том. VI. стр. 32–33. дои : 10.1109/ISSCC.1963.1157450.
  26. ^ Лойек, Бо (2007). История полупроводниковой техники. Springer Science & Business Media . п. 330. ИСБН 9783540342588. Архивировано из оригинала 06 августа 2020 г. Проверено 21 июля 2019 г.
  27. ^ abcde Рубин, Леонард; Поат, Джон (июнь – июль 2003 г.). «Ионная имплантация в кремниевой технологии» (PDF) . Промышленный физик . 9 (3). Американский институт физики : 12–15.
  28. ^ Манасевит, HM; Симпсон, WJ (1964). «Монокристаллический кремний на сапфировой подложке». Журнал прикладной физики . 35 (4): 1349–51. Бибкод : 1964JAP....35.1349M. дои : 10.1063/1.1713618.
  29. ^ Мюллер, CW; Робинсон, PH (декабрь 1964 г.). «Выращенные кремниевые транзисторы на сапфире». Труды IEEE . 52 (12): 1487–90. дои : 10.1109/PROC.1964.3436.
  30. ^ Расширение закона Мура с помощью передовых методов проектирования и обработки полупроводников. ЦРК Пресс. 13 сентября 2018 г. ISBN 978-1-351-24866-2.
  31. ^ «Эволюция инфографики кремниевых пластин».
  32. ^ Как площадь транзистора сократилась в 1 миллион раз. Спрингер. 15 июля 2020 г. ISBN 978-3-030-40021-7.
  33. ^ Изготовление пластин: производительность и анализ фабрики. Спрингер. 30 ноября 1995 г. ISBN. 978-0-7923-9619-2.
  34. ^ «Внутренняя история самой большой ошибки Texas Instruments: микропроцессор TMS9900 - IEEE Spectrum» .
  35. ^ «Затраты на производство вафель выходят из-под контроля» .
  36. ^ "Серия Кла 200" .
  37. ^ «KLA 2020 - инструмент, который положил начало революции в управлении урожайностью» .
  38. ^ Технология BCD 0,18 мкм с лучшим в своем классе LDMOS от 6 В до 45 В. 26-й Международный симпозиум IEEE по силовым полупроводниковым устройствам и ИС (ISPSD), 2014 г. дои : 10.1109/ISPSD.2014.6856005.
  39. ^ «Три чипа в одном: история интегральной схемы BCD - спектр IEEE» . Spectrum.ieee.org .
  40. ^ «Прикладные материалы Система Precision 5000 CVD» .
  41. ^ «Линейная система распыления Series 900 от MRC» .
  42. ^ Вакуумное осаждение на полотна, пленки и фольгу. Уильям Эндрю. 21 июня 2011 г. ISBN. 978-1-4377-7868-7.
  43. ^ «Первая в мире 300-мм фабрика Infineon - проблемы и успех» . Материалы ISSM2000. Девятый международный симпозиум по производству полупроводников (номер по каталогу IEEE 00CH37130) . дои : 10.1109/ISSM.2000.993612. S2CID  109383925.
  44. ^ «Эра 300 мм начинается». 10 июля 2000 г.
  45. ^ ab «Производитель прикладных материалов».
  46. ^ «Полупроводниковые пластины 300 мм получают отсрочку» . История чипа .
  47. ^ «Novellus предлагает инструмент CVD диаметром 300 мм, который меньше, чем 200 мм, и имеет более низкую стоимость» . 10 июля 2000 г.
  48. ^ Хафф, Ховард Р.; Гудолл, Рэндал К.; Буллис, В. Мюррей; Морленд, Джеймс А.; Киршт, Фриц Г.; Уилсон, Сид Р.; Группа исходных материалов NTRS (24 ноября 1998 г.). «Критерии кремниевых пластин на основе моделей для оптимальной производительности интегральных схем». Материалы конференции AIP . Том. 449. стр. 97–112. дои : 10.1063/1.56795.
  49. ↑ Аб Чжан, Цзе (24 сентября 2018 г.). Изготовление пластин: Автоматическая система обработки материалов. Вальтер де Грюйтер ГмбХ & Ко КГ. ISBN 978-3-11-048723-7.
  50. Рианна ЛаПедус, Марк (21 мая 2018 г.). «200-миллиметровый потрясающий хруст». Полупроводниковая техника .
  51. Беккер, Скотт (24 марта 2003 г.). «Будущее периодической обработки и обработки отдельных пластин при очистке пластин». ЭЭ Таймс .
  52. ^ «Производственные преимущества сильноточной ионной имплантации одиночной пластины» . Материалы 11-й Международной конференции по технологии ионной имплантации . дои : 10.1109/IIT.1996.586424. S2CID  70599233.
  53. ^ Ренау, А. (2005). «Подходы к сильноточной ионной имплантации одиночной пластины». Ядерные приборы и методы в физических исследованиях. Раздел B: Взаимодействие пучков с материалами и атомами . 237 (1–2): 284–289. Бибкод : 2005NIMPB.237..284R. дои :10.1016/j.nimb.2005.05.016.
  54. ^ Сухое травление для СБИС. Спрингер. 29 июня 2013 г. ISBN 978-1-4899-2566-4.
  55. ^ Хоссейн-Пас, С.; Пас, МФ (1997). «Понимание влияния пакетной обработки по сравнению с одиночной пластиной при термической обработке с использованием анализа стоимости владения». Дело МРС . 470 . дои : 10.1557/PROC-470-201.
  56. ^ Веймер, РА; Эппич, Д.М.; Биман, КЛ; Пауэлл, округ Колумбия; Гонсалес, Ф. (2003). «Контраст однопластинной и пакетной обработки для устройств памяти». Транзакции IEEE по производству полупроводников . 16 (2): 138–146. дои : 10.1109/TSM.2003.810939.
  57. ^ Введение в микропроизводство. Джон Уайли и сыновья. 28 января 2005 г. ISBN. 978-0-470-02056-2.
  58. ^ «Тенденции в обработке одной пластины». Симпозиум 1992 года по технологии СБИС. Сборник технических статей . дои :10.1109/VLSIT.1992.200629. S2CID  110840307.
  59. ^ «Отдельная пластина против пакетной обработки пластин в производстве MEMS» . 2 августа 2016 г.
  60. ^ «Производитель прикладных материалов - нас ждет новая революция» . История чипа .
  61. ^ «10 крупнейших мировых лидеров продаж полупроводников — 1 квартал 2017 г. — AnySilicon» . AnySilicon . 09.05.2017. Архивировано из оригинала 06.11.2017 . Проверено 19 ноября 2017 г.
  62. Мучлер, Энн (13 июля 2017 г.). «Старение транзисторов усиливается при 10/7 нм и ниже». Полупроводниковая техника .
  63. Сперлинг, Эд (14 февраля 2018 г.). «Старение чипов ускоряется». Полупроводниковая техника .
  64. ^ де Врис, Ганс. «Chip Architect: будут раскрыты 130-нм процессы Intel и Motorola/AMD». чип-архитектор.com . Проверено 22 апреля 2018 г.
  65. ^ «Похоже, что «Мостовые инструменты» перемещаются на 300 мм» . 26 апреля 2001 г.
  66. ^ «Начинаются литейные войны». 19 апреля 2021 г.
  67. ^ «Готовьтесь к 675-мм заводам в 2021 году». 14 ноября 2006 г.
  68. ^ Шукла, Приянк. «Краткая история эволюции узла процесса». design-reuse.com . Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  69. ^ Грушка, Джоэл (23 июня 2014 г.). «14 нм, 7 нм, 5 нм: насколько низко может опускаться КМОП? Это зависит от того, спросите ли вы инженеров или экономистов…». ЭкстримТех . Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  70. ^ «Эксклюзив: действительно ли Intel начинает терять свое технологическое лидерство? Выпуск 7-нм узла намечен на 2022 год» . wccftech.com . 10 сентября 2016 г. Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  71. ^ «Жизнь на 10 нм. (Или это 7 нм?) И 3 нм - взгляды на передовые кремниевые платформы». eejournal.com . 2018-03-12. Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  72. ^ «Процесс литографии 10 нм - WikiChip» . ru.wikichip.org . Архивировано из оригинала 1 июля 2019 г. Проверено 17 августа 2019 г.
  73. ^ «Процесс литографии 14 нм - WikiChip» . ru.wikichip.org . Архивировано из оригинала 1 июля 2019 г. Проверено 17 августа 2019 г.
  74. ^ Катресс, Ян. «Дорожная карта Intel до 2025 года: с 4 нм, 3 нм, 20 А и 18 А ?!». АнандТех .
  75. ^ Бэйли, Брайан (9 августа 2018 г.). «Старение чипов становится проблемой проектирования». Полупроводниковая техника .
  76. Рианна Дербишир, Кэтрин (20 апреля 2017 г.). «Остановит ли самонагрев FinFET». Полупроводниковая техника .
  77. ^ "ФинФЭТ".
  78. ^ "Трёхмерные транзисторы Foundries Rush - Спектр IEEE" .
  79. ^ Бор, Марк; Мистри, Кайзад (май 2011 г.). «Революционная транзисторная технология Intel на 22 нм» (PDF) . intel.com . Проверено 18 апреля 2018 г.
  80. ^ Грэбэм, Дэн (6 мая 2011 г.). «Транзисторы Intel Tri-Gate: все, что вам нужно знать». ТехРадар . Проверено 19 апреля 2018 г.
  81. ^ Бор, Марк Т.; Янг, Ян А. (2017). «Тенденции масштабирования КМОП и не только». IEEE микро . 37 (6): 20–29. дои : 10.1109/MM.2017.4241347. S2CID  6700881. Следующей крупной транзисторной инновацией стало появление транзисторов FinFET (трехзатворных) по 22-нм технологии Intel в 2011 году.
  82. ^ «Стартап ищет новую жизнь для планарных транзисторов - IEEE Spectrum» .
  83. ^ «Все более неравномерная гонка за 3/2 морских мили» . 24 мая 2021 г.
  84. ^ «Чем отличаются транзисторы следующего поколения» . 20 октября 2022 г.
  85. ^ «Сложенные нанолистовые транзисторы Intel могут стать следующим шагом в законе Мура» .
  86. ^ «Нанопроволочные транзисторы могут сохранить закон Мура» .
  87. ^ «Нанопровода усиливают вертикальные транзисторы» . 2 августа 2012 г.
  88. ^ «Транзисторы перестанут сокращаться в 2021 году, но закон Мура будет жить» . 25 июля 2016 г.
  89. ^ «7 нм, 5 нм, 3 нм: новые материалы и транзисторы, которые приведут нас к пределам закона Мура | Extremetech» .
  90. ^ «Что после FinFET?». 24 июля 2017 г.
  91. ^ «Варианты транзисторов за пределами 3 нм» . 15 февраля 2018 г.
  92. ^ "Samsung, GF Ramp FD-SOI" . 27 апреля 2018 г.
  93. ^ Шилов, Антон. «Samsung завершает разработку 5-нм техпроцесса EUV». АнандТех . Архивировано из оригинала 20 апреля 2019 г. Проверено 31 мая 2019 г.
  94. Ченг, Годфри (14 августа 2019 г.). «Закон Мура не умер». Блог TSMC . ТСМС . Проверено 25 сентября 2023 г.
  95. ^ Шор, Дэвид (6 апреля 2019 г.). «TSMC начинает 5-нанометровое рисковое производство» . Викичип-предохранитель . Архивировано из оригинала 5 мая 2020 г. Проверено 7 апреля 2019 г.
  96. ^ Шилов, Антон; Катресс, Ян. «GlobalFoundries прекращает все 7-нм разработки: предпочитает сосредоточиться на специализированных процессах». АнандТех . Архивировано из оригинала 12 октября 2019 г. Проверено 12 октября 2019 г.
  97. ^ Смит, Никола; Лю, Джон (июль 2021 г.). «Тайваньские производители микросхем держат рабочих «заключенными» на фабриках, чтобы удовлетворить глобальный пандемический спрос». Телеграф .
  98. ^ «Нехватка чипов приводит к увеличению количества поддельных чипов и устройств» . 14 июня 2021 г.
  99. ^ Миллер, Крис. «Что такое полупроводники и почему они жизненно важны для мировой экономики?». Всемирный экономический форум (Интервью).
  100. Уэлен, Жанна (14 июня 2021 г.). «Страны щедры на субсидии и льготы производителям полупроводников, поскольку глобальная война чипов накаляется». Вашингтон Пост .
  101. Шепардсон, Дэвид (21 декабря 2023 г.). «Обеспокоенность Китая по поводу импорта побуждает США начать пересмотр цепочки поставок полупроводников». Рейтер .
  102. ^ «США призывают Тайвань ограничить экспорт чипов в Китай» .
  103. ^ ab «Симпозиум СБИС — TSMC и Imec по передовым технологиям процессов и устройств на пути к 2 нм» . 25 февраля 2024 г.
  104. ^ «Отключение электроэнергии частично остановило завод по производству микросхем Toshiba Memory» . Рейтер . 21 июня 2019 года. Архивировано из оригинала 16 декабря 2019 года . Получено 16 декабря 2019 г. - через www.reuters.com.
  105. ^ Труда, Департамент США (19 февраля 2000 г.). Справочник по профессиональным перспективам. Издательство ДЖИСТ. ISBN 978-1-56370-677-6– через Google Книги.
  106. ^ «Дефицит воды и полупроводниковая промышленность». big.stanford.edu .
  107. ^ аб Рейнхардт, Карен; Керн, Вернер (16 марта 2018 г.). Справочник по технологии очистки кремниевых пластин. Уильям Эндрю. п. 223. ИСБН 978-0-323-51085-1. Проверено 8 января 2024 г.
  108. ^ Натрадж Нараянсвами (1999). «Теоретический анализ очистки пластин с помощью криогенного аэрозоля». Журнал Электрохимического общества . 146 (2): 767–774. Бибкод : 1999JElS..146..767N. дои : 10.1149/1.1391679 . Проверено 8 января 2024 г.
  109. Харс, Адель (20 октября 2022 г.). «Очистка пластин становится ключевой проблемой при производстве 3D-структур». Полупроводниковая техника .
  110. Хаттори, Такеши (30 сентября 2009 г.). Технология очистки и подготовки поверхности при производстве полупроводниковых приборов 11. Электрохимическое общество. ISBN 978-1-56677-742-1– через Google Книги.
  111. ^ Справочник по индустрии интегральных схем. Спрингер. 27 ноября 2023 г. ISBN 978-981-99-2836-1.
  112. ^ Райтер, Тамас; Макканн, Майкл; Коннолли, Джеймс; Хоги, Шон (февраль 2022 г.). «Исследование изменчивости ширины, эффектов и управления процессом удаления краевых валиков в фотолитографическом производстве». Транзакции IEEE по производству полупроводников . 35 (1): 60–66. дои : 10.1109/TSM.2021.3129770. S2CID  244560651.
  113. ↑ abc LaPedus, Марк (16 ноября 2017 г.). «Что будет дальше с атомным травлением слоев?». Полупроводниковая техника .
  114. ^ аб «Эпитаксия».
  115. ^ Пеле, А.Ф. (29 марта 2022 г.). «Раскрытие потенциала молекулярно-лучевой эпитаксии». АспенКор . Проверено 8 января 2024 г.
  116. Фоглер, Д. (19 ноября 2008 г.). «С новым инструментом Aviza ионно-лучевое осаждение достигает 300 мм». СМИ с золотым флагом . Проверено 8 января 2024 г.
  117. ^ Рю, Дже Хёк; Ким, Бён Хун; Юн, Сон Джин (2017). «Характеристика тонкого слоя карбонизированного фоторезиста и исследование процесса сухой полосы с помощью контроля переменной температуры в режиме реального времени». 2017 28-я ежегодная конференция SEMI по производству передовых полупроводников (ASMC) . стр. 102–106. дои : 10.1109/ASMC.2017.7969207. ISBN 978-1-5090-5448-0.
  118. ^ Айнспрух, Норман Г.; Браун, Дейл М. (1 декабря 2014 г.). Плазменная обработка для СБИС. Академическая пресса. ISBN 978-1-4832-1775-8– через Google Книги.
  119. ^ Верхавербеке, С.; Бодри, К.; Боелен, П. (2004). Водная однопроходная очистка AI/Via с одной пластиной. Электрохимическое общество . стр. 23–26. ISBN 978-1-56677-411-6. Проверено 8 января 2024 г.
  120. ^ «Лазерный подъемник (LLO) Идеально подходит для производства вертикальных светодиодов высокой яркости - Пресс-релиз - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 14 июня 2019 г. Проверено 26 мая 2019 г.
  121. ^ «Информация о продукте | Полировальные машины - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  122. ^ «Информация о продукте | DBG / Отделение упаковки - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 16 мая 2019 г. Проверено 26 мая 2019 г.
  123. ^ «Плазменная нарезка кубиками (нарезка кубиками перед измельчением) | Орботех» . www.orbotech.com .[ постоянная мертвая ссылка ]
  124. ^ «Электропроводящая пленка для крепления матрицы (в разработке) | Nitto» . www.nitto.com . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  125. ^ «Клеи для пленки Die Attach» . www.henkel-adhesives.com . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  126. ^ «От кусочка кристалла к пластине микросхемы - революция CHM» . www.computerhistory.org .
  127. ^ ab «Исследование выделения человеческих частиц». www.cleanroomtechnology.com . Архивировано из оригинала 15 октября 2020 г. Проверено 14 октября 2020 г.
  128. ^ «Система ASYST SMIF — интегрирована с Tencor Surfscan 7200» . История чипа . Архивировано из оригинала 16 октября 2020 г. Проверено 14 октября 2020 г.
  129. Миллер, Майкл Дж. (15 февраля 2018 г.). «Как производятся чипы: посещение GlobalFoundries». PCMag Азия . Проверено 23 ноября 2023 г.
  130. ^ Микролитография: наука и технологии, второе издание. ЦРК Пресс. 3 октября 2018 г. ISBN 978-1-4200-5153-7.
  131. ^ «Процедуры очистки пластин; фоторезист или зачистка резиста; удаление пленок и частиц» . www.eesemi.com . Архивировано из оригинала 15 октября 2020 г. Проверено 14 октября 2020 г.
  132. Сугавара, М. (28 мая 1998 г.). Плазменное травление: основы и приложения. ОУП Оксфорд. ISBN 978-0-19-159029-0– через Google Книги.
  133. Нодзири, Кадзуо (25 октября 2014 г.). Технология сухого травления полупроводников. Спрингер. ISBN 978-3-319-10295-5– через Google Книги.
  134. Сугавара, М. (28 мая 1998 г.). Плазменное травление: основы и приложения. ОУП Оксфорд. ISBN 978-0-19-159029-0– через Google Книги.
  135. ^ Ли, Джинмин; Ван, Цзюньси; И, Сяоянь; Лю, Чжицян; Вэй, Тонгбо; Ян, Цзяньчан; Сюэ, Бинь (31 августа 2020 г.). III-нитридные светоизлучающие диоды: технология и применение. Спрингер Природа. ISBN 978-981-15-7949-3– через Google Книги.
  136. Пауэлл, РА (2 декабря 2012 г.). Сухое травление микроэлектроники. Эльзевир. ISBN 978-0-08-098358-5– через Google Книги.
  137. ^ Линиг, Йенс; Шайбле, Юрген (19 марта 2020 г.). Основы топологии электронных схем. Спрингер Природа. ISBN 978-3-030-39284-0– через Google Книги.
  138. Кёлер, Майкл (11 июля 2008 г.). Травление в микросистемной технике. Джон Уайли и сыновья. ISBN 978-3-527-61379-3– через Google Книги.
  139. ^ ЛаПедус, Марк (21 марта 2022 г.). «Высокоселективное травление применяется для чипов следующего поколения». Полупроводниковая техника .
  140. Франсила, Сами (28 января 2005 г.). Введение в микропроизводство. Джон Уайли и сыновья. ISBN 978-0-470-02056-2.
  141. ^ «1954: Разработан процесс диффузии для транзисторов | Кремниевый двигатель | Музей истории компьютеров» .
  142. Лиан, Ягуан (10 октября 2022 г.). Полупроводниковые микрочипы и производство: Практическое руководство по теории и производству. Джон Уайли и сыновья. ISBN 978-1-119-86780-7.
  143. ^ Главиш, Хилтон; Фарли, Марвин. Обзор основных инноваций в проектировании балочных линий (PDF) . 2018 22-я Международная конференция по технологии ионной имплантации (IIT). дои : 10.1109/IIT.2018.8807986.
  144. ^ Фэйр, Ричард Б. (январь 1998 г.). «История некоторых ранних разработок в технологии ионной имплантации, приведших к производству кремниевых транзисторов» (PDF) . Труды IEEE . 86 (1): 111–137. дои : 10.1109/5.658764. Архивировано из оригинала (PDF) 2 сентября 2007 года . Проверено 26 февраля 2024 г.
  145. ^ «Ионная имплантация в КМОП-технологии: проблемы машины». Ионная имплантация и синтез материалов . Спрингер. 2006. стр. 213–238. дои : 10.1007/978-3-540-45298-0_15. ISBN 978-3-540-23674-0.
  146. ^ Метод виртуальной метрологии для производства полупроводников. Международная совместная конференция IEEE 2006 г. по исследованиям нейронных сетей. doi : 10.1109/IJCNN.2006.247284. S2CID  1194426.
  147. ^ «Угроза изменчивости полупроводников - Спектр IEEE» . Spectrum.ieee.org .
  148. ^ Ниси, Ёсио; Деринг, Роберт (19 декабря 2017 г.). Справочник по технологии производства полупроводников. ЦРК Пресс. ISBN 978-1-4200-1766-3– через Google Книги.
  149. ^ Гровенор, CRM (5 октября 2017 г.). Микроэлектронные материалы. Рутледж. ISBN 978-1-351-43154-5– через Google Книги.
  150. ^ Технология производства полупроводников. Мировое научное издательство. 3 марта 2008 г. ISBN. 978-981-310-671-0.
  151. ^ Натан, Арокия; Саха, Самар К.; Тоди, Рави М. (август 2023 г.). 75 лет транзистору. Джон Уайли и сыновья. ISBN 978-1-394-20244-7.
  152. ^ High-k/металлические затворы в передовых кремниевых устройствах. Конференция SEMI по передовому производству полупроводников 2012 г. дои : 10.1109/ASMC.2012.6212925. S2CID  32122636.
  153. ^ Робертсон Дж. и Уоллес Р.М. (2015). High-K материалы и металлические затворы для КМОП-приложений. Материаловедение и инженерия: R: Отчеты, 88, 1–41. doi:10.1016/j.mser.2014.11.001
  154. ^ Франк, ММ (2011). Инновации High-k/металлических затворов, обеспечивающие непрерывное масштабирование КМОП. 2011 г. Материалы Европейской конференции по исследованию твердотельных устройств (ESSDERC). doi:10.1109/essderc.2011.6044239
  155. ^ Первая технология DRAM High-K/металлического затвора Gate для продуктов с низким энергопотреблением и высокой производительностью. Международная конференция IEEE по электронным устройствам (IEDM), 2015 г. doi :10.1109/IEDM.2015.7409775. S2CID  35956689.
  156. ^ «Интеграция high-k/металлических вентилей: первый затвор или последний? | Полупроводниковый дайджест» .
  157. ^ «IEDM 2009: варианты HKMG «сначала ворота» и «последние ворота» | Обзор полупроводников» .
  158. ^ «Путь Samsung к 14 нм» . 12 мая 2015 г.
  159. ^ Дополнительный оксид металла-полупроводник. Совет директоров – Книги по запросу. Август 2018. ISBN 978-1-78923-496-1.
  160. ЛаПедус, Марк (24 июля 2017 г.). «Что после FinFET?». Полупроводниковая техника .
  161. ^ Татешита, Ю.; Ван, Дж.; Нагано, К.; Хирано, Т.; Миянами, Ю.; Икута, Т.; Катаока, Т.; Кикучи, Ю.; Ямагучи, С.; Андо, Т.; Тай, К.; Мацумото, Р.; Фудзита, С.; Ямане, К.; Ямамото, Р.; Канда, С.; Кугимия, К.; Кимура, Т.; Очи, Т.; Ямамото, Ю.; Нагахама, Ю.; Хагимото, Ю.; Вакабаяси, Х.; Тагава, Ю.; Цукамото, М.; Ивамото, Х.; Сайто, М.; Кадомура, С.; Нагашима, Н. (2006). «Технологии высокопроизводительных и маломощных КМОП-устройств с использованием стеков металлических затворов / High-k с одноосно-напряженными кремниевыми каналами на подложках (100) и (110)». 2006 Международная встреча по электронным устройствам . стр. 1–4. дои : 10.1109/IEDM.2006.346959. ISBN 1-4244-0438-Х. S2CID  23881959.
  162. ^ Нараянан, В. (2007). «High-k/Metal Gates – от исследований к реальности». 2007 Международный семинар по физике полупроводниковых приборов . стр. 42–45. дои : 10.1109/IWPSD.2007.4472451. ISBN 978-1-4244-1727-8. S2CID  25926459.
  163. ^ «Решение High-k - Спектр IEEE» . Spectrum.ieee.org .
  164. ^ Харе, Мукеш (2007). «Технология High-K/металлических ворот: новый горизонт». 2007 Конференция IEEE по заказным интегральным схемам . стр. 417–420. дои : 10.1109/CICC.2007.4405765. ISBN 978-1-4244-0786-6. S2CID  1589266.
  165. ^ Видманн, Д.; Мадер, Х.; Фридрих, Х. (9 марта 2013 г.). Технология интегральных микросхем. Спрингер. ISBN 978-3-662-04160-4.
  166. ^ «Процесс подключения BEOL для логики CMOS» .
  167. ↑ abc LaPedus, Марк (22 мая 2017 г.). «Гонка за 10/7 морских миль». Полупроводниковая техника .
  168. ^ Химико-механическая планаризация полупроводниковых материалов. Спрингер. 26 января 2004 г. ISBN. 978-3-540-43181-7.
  169. ^ Технология медного межсоединения. Спрингер. 22 января 2010 г. ISBN. 978-1-4419-0076-0.
  170. ^ «Введение в медные / низкокалиевые межсоединения и основы электромиграции» .
  171. ^ Дюбуа, Жеро; Фольксен, Вилли (24 февраля 2012 г.). «Материалы с низким содержанием k : последние достижения». В Бакланове Михаил Р.; Хо, Пол С.; Зшех, Эренфрид (ред.). Низко-k материалы: последние достижения. Уайли. стр. 1–33. дои : 10.1002/9781119963677.ch1. ISBN 978-0-470-66254-0– через CrossRef.
  172. ^ Ли, З.; Тиан, Ю.; Тенг, К.; Цао, Х. (2020). «Последние достижения в области барьерного слоя медных межсоединений». Материалы . 13 (21): 5049. Бибкод : 2020Mate...13.5049L. дои : 10.3390/ma13215049 . ПМЦ 7664900 . ПМИД  33182434. 
  173. ^ «Разработка IBM медного межсоединения для интегральных схем» .
  174. ^ «Инкапсуляция кобальтом увеличивает размер меди до 10 нм» . 13 мая 2014 г.
  175. ^ Лепер, Филипп; Штукельбергер, Майкл; Нисен, Бьорн; Вернер, Жереми; Филипич, Миха; Мун, Су-Джин; Ням, Джун Хо; Топич, Марко; Де Вольф, Стефан; Баллиф, Кристоф (2015). «Спектры сложного показателя преломления тонких пленок перовскита CH3NH3PbI3, определенные методами спектроскопической эллипсометрии и спектрофотометрии». Журнал физической химии . 6 (1): 66–71. дои : 10.1021/jz502471h. ПМИД  26263093 . Проверено 16 ноября 2021 г.
  176. ^ ab «Доходность и управление доходностью» (PDF) . Экономически эффективное производство интегральных схем (PDF) . Корпорация по разработке интегральных схем. 1997. ISBN 1-877750-60-3. Архивировано из оригинала 22 января 2023 г. Проверено 22 января 2023 г.{{cite book}}: CS1 maint: bot: original URL status unknown (link)
  177. ^ Катресс, доктор Ян. «Ранний 5-нм тестовый чип TSMC дает 80% производительности, HVM появится в первом полугодии 2020 года» . АнандТех . Архивировано из оригинала 25 мая 2020 г. Проверено 12 апреля 2020 г.
  178. ^ «Усовершенствованные МОП-транзисторы и новые устройства» (PDF) . Архивировано из оригинала (PDF) 26 октября 2020 г. Проверено 23 октября 2020 г.
  179. ^ «Введение в полупроводниковую технологию» (PDF) . СТМикроэлектроника . п. 6. Архивировано (PDF) из оригинала 3 апреля 2018 г. Проверено 25 сентября 2018 г.
  180. ^ "Вафельный фон" . eesemi.com . Архивировано из оригинала 22 января 2021 г. Проверено 18 декабря 2020 г.
  181. ^ «Почему техногенное загрязнение становится глобальным». CNET . 25 апреля 2002 года . Проверено 17 февраля 2024 г.
  182. ^ Балига, Б. (2 декабря 2012 г.). Эпитаксиальная кремниевая технология. Эльзевир. ISBN 978-0-323-15545-8– через Google Книги.

дальнейшее чтение

Внешние ссылки