stringtranslate.com

Экстремальная ультрафиолетовая литография

Литография экстремальным ультрафиолетом ( EUVL , также известная просто как EUV ) — это передовая технология, используемая в полупроводниковой промышленности для производства интегральных схем (ИС). Это тип фотолитографии , в котором используется крайний ультрафиолет (EUV) для создания замысловатых узоров на кремниевых пластинах .

По состоянию на 2023 год ASML Holding является единственной компанией, которая производит и продает EUV-системы для производства чипов, ориентируясь на технологические узлы 5 нанометров (нм) и 3 нм .

Длины волн EUV, которые используются в EUVL, составляют около 13,5  нанометров (нм) с использованием лазерно-импульсной плазмы капель олова (Sn) (ионы Sn в ионных состояниях от Sn IX до Sn XIV дают спектральные пики излучения фотонов около 13,5 нм от 4p 6 4d n - 4p 5 4d n+1 + 4d n-1 4f переходы ионного состояния. [1] ), чтобы создать рисунок с помощью отражающей фотомаски для экспонирования подложки, покрытой фоторезистом .

На Международной конференции по электронным устройствам (IEDM) 2019 года компания TSMC сообщила об использовании EUV для своих 5-нм узлов в контактных, сквозных, металлических линиях и слоях разрезов, где разрезы могут быть применены к ребрам, затворам или металлическим линиям. [2] [3]

На выставке IEDM 2020 компания TSMC сообщила, что минимальный шаг металла узла 5 нм будет уменьшен на 30% (до ~ 28 нм) по сравнению с шагом узла 7 нм [4] , который составлял 40 нм. [5]

5-нм узел Samsung с литографической точки зрения соответствует тем же правилам проектирования, что и его 7-нм узел, с минимальным шагом металла 36 нм. [6]

Механизм формирования изображения в EUV-литографии.
  EUV многослойная стеклянная прокладка на основе кремния и молибденовые отражатели
  поглотитель
  ЭУФ-излучение
  Сопротивляться
  Субстрат
  Вторичные электроны
Многослойный EUV и поглотитель, образующий маску для изображения линии.
EUV-излучение, отраженное от рисунка маски, поглощается резистом и подложкой, образуя фотоэлектроны и вторичные электроны. Эти электроны увеличивают интенсивность химических реакций в резисте.
На оптическое изображение накладывается случайный по своей природе вторичный электронный рисунок. Нежелательное воздействие вторичных электронов приводит к потере разрешения, наблюдаемой шероховатости края линии и изменению ширины линии.

История

В 1960-х годах видимый свет использовался для производства интегральных схем с длиной волны всего 435 нм (линия G ртути).

Позже стал использоваться ультрафиолетовый (УФ) свет, сначала с длиной волны 365 нм (ртутная «i-линия»), затем с эксимерными длинами волн, сначала 248 нм ( лазер на фториде криптона ), затем 193 нм ( лазер на фториде аргона ), что называлось глубоким УФ.

Следующий шаг, еще более компактный, получил название Extreme UV или EUV. Многие считали технологию EUV невозможной.

EUV-свет поглощается стеклом и воздухом, поэтому вместо использования линз для фокусировки лучей света, как это делалось раньше, потребуются зеркала в вакууме. Надежное производство EUV также было проблематичным. Тогда ведущие производители шаговых двигателей Canon и Nikon прекратили разработку, а некоторые предсказывали конец закона Мура . [ нужна цитата ]

В 1991 году ученые Bell Labs опубликовали статью, демонстрирующую возможность использования длины волны 13,8 нм для так называемой проекционной литографии с мягким рентгеновским излучением. [7]

Чтобы решить проблему EUV-литографии, исследователи из Ливерморской национальной лаборатории Лоуренса , Национальной лаборатории Лоуренса Беркли и Национальной лаборатории Сандии в 1990-х годах получили финансирование для проведения фундаментальных исследований технических препятствий. Результаты этой успешной работы были распространены через соглашение о сотрудничестве государственного и частного партнерства в области исследований и разработок (CRADA), при этом изобретение и права полностью принадлежали правительству США, но лицензировались и распространялись с одобрения Министерства энергетики и Конгресса. [8] CRADA состояла из консорциума частных компаний и лабораторий, выраженных в форме общества под названием «Компания с ограниченной ответственностью «Экстремальное ультрафиолетовое излучение» (EUV LLC). [9]

Intel, Canon и Nikon (лидеры в этой области на тот момент), а также голландская компания ASML и Silicon Valley Group (SVG) добивались лицензирования. Конгресс отказал японским компаниям в необходимом разрешении, поскольку в то время они воспринимались как сильные технические конкуренты и не должны получать выгоду от исследований, финансируемых налогоплательщиками, за счет американских компаний. [10] В 2001 году компания SVG была приобретена компанией ASML, в результате чего ASML осталась единственным благотворителем критически важной технологии. [11]

К 2018 году ASML удалось реализовать интеллектуальную собственность EUV-LLC после нескольких десятилетий исследований в области развития благодаря объединению финансируемой Европой компании EUCLIDES (Extreme UV Concept Lithography Development System) и давнего партнера немецкого производителя оптики ZEISS и источника синхротронного света. поставщик Оксфорд Инструментс. Это побудило MIT Technology Review назвать его «машиной, спасшей закон Мура». [12] Первый прототип в 2006 году производил одну пластину за 23 часа. По состоянию на 2022 год сканер будет производить до 200 пластин в час. В сканере используется оптика Zeiss , которую эта компания называет «самыми точными зеркалами в мире». Они производятся путем обнаружения дефектов и последующего удаления отдельных молекул с помощью таких методов, как расчет ионного луча. [13]

Это сделало некогда небольшую компанию ASML мировым лидером в производстве сканеров и монополистом в этой передовой технологии и привело к рекордному обороту в 18,6 млрд евро в 2021 году, затмив своих конкурентов Canon и Nikon, которым было отказано в доступе по IP. Поскольку это ключевая технология для развития во многих областях, лицензиар из США оказал давление на власти Нидерландов, чтобы те не продавали эти машины Китаю . ASML следует рекомендациям голландского экспортного контроля и до дальнейшего уведомления не будет иметь полномочий поставлять машины в Китай. [14]

Потрясающий результат инструмента

Источник: ASML поставит инструменты EUV.

Маски

Фотомаски EUV работают за счет отражения света [15] , что достигается за счет использования нескольких чередующихся слоев молибдена и кремния . В этом отличие от обычных фотомасок, которые блокируют свет с помощью одного слоя хрома на кварцевой подложке. ЭУФ-маска состоит из 40-50 [16] чередующихся слоев кремния и молибдена; [17] этот многослойный слой отражает крайний ультрафиолетовый свет посредством дифракции Брэгга ; Коэффициент отражения сильно зависит от угла падения и длины волны: более длинные волны отражают падение, более близкое к нормальному, а более короткие волны отражают больше от нормального падения. Рисунок определяется поглощающим слоем на основе тантала поверх многослойного слоя. [18] Многослойный слой может быть защищен тонким слоем рутения, называемым покрывающим слоем. [19] [20] [21]

Заготовки фотошаблонов в основном производятся двумя компаниями: AGC Inc. и Hoya Corporation . [22] и оборудование для ионно-лучевого осаждения, в основном производства Veeco, часто используется для нанесения многослойного материала. [23] Заготовка фотомаски покрывается фоторезистом , который затем запекается (затвердевает) в печи, а затем подвергается воздействию лазерного света с использованием безмасочной литографии электронным лучом. [24] Экспонированный фоторезист проявляется (удаляется) и незащищенные участки протравливаются. Оставшийся фоторезист затем удаляется. Затем маски проверяются, а затем ремонтируются с помощью электронного луча . [25] Травление должно выполняться только в закрывающем слое [26] , поэтому необходимо различать рутений и многослойный слой, который известен как селективность травления [27] и отличается от травления в обычных фотомасках, которые имеют только один слой. слой, критически важный для их функции. [28]

Инструмент

Инструмент EUVL, Ливерморская национальная лаборатория Лоуренса.

Инструмент EUV (фотолитографическая машина EUV) имеет плазменный источник света из олова (Sn) с лазерным приводом, отражающую оптику, состоящую из многослойных зеркал, содержащихся в среде газообразного водорода. [29] Водород используется для удержания зеркала-сборника EUV, как первого зеркала, собирающего EUV-излучение, излучаемое в большом диапазоне углов (~ 2π ср ) из плазмы Sn, в источнике, свободном от отложений Sn. [30] В частности, буферный водородный газ в камере или резервуаре источника EUV замедляет или, возможно, отталкивает ионы Sn и остатки олова, движущиеся к коллектору EUV (защита коллектора), и обеспечивает химическую реакцию Sn (s) + 4H (g) = SnH4(g) для удаления отложений Sn на коллекторе в виде газа SnH4 (восстановление отражательной способности коллектора).

EUVL представляет собой значительный отход от стандарта литографии в глубоком ультрафиолете. Вся материя поглощает EUV- излучение. Следовательно, EUV-литография требует вакуума. Во всех оптических элементах, включая фотошаблон , должны использоваться бездефектные мультислои молибдена/кремния ( Mo / Si ) (состоящие из 50 бислоев Mo/Si, теоретический предел отражательной способности которых на длине волны 13,5 нм составляет ~ 75% [31] ), которые отражают свет посредством межслойной интерференции волн; Любое из этих зеркал поглощает около 30% падающего света, поэтому контроль температуры зеркала важен.

Современные системы ЭУВЛ содержат как минимум два конденсорных многослойных зеркала, шесть проекционных многослойных зеркал и многослойный объект (маску). Поскольку зеркала поглощают 96% EUV-света, идеальный источник EUV должен быть намного ярче, чем его предшественники. Разработка источников EUV была сосредоточена на плазме , генерируемой лазерными или разрядными импульсами. Зеркало, отвечающее за сбор света, подвергается непосредственному воздействию плазмы и уязвимо для повреждения ионами высокой энергии [32] [33] и другим мусором [34] , таким как капли олова, которые требуют замены дорогостоящего коллекторного зеркала каждый раз. год. [35]

Требования к ресурсам

Требуемые коммунальные ресурсы для EUV значительно больше по сравнению с иммерсионным излучением с длиной волны 193 нм , даже при двух экспозициях с использованием последнего. На симпозиуме EUV 2009 года компания Hynix сообщила, что эффективность настенной розетки для EUV составляет ~0,02%, т. е. для получения 200 Вт при промежуточном фокусе для 100 пластин в час потребуется 1 мегаватт входной мощности по сравнению с 165 киловатт для иммерсионного сканера ArF, и что даже при той же пропускной способности занимаемая площадь EUV-сканера была примерно в три раза больше, чем у иммерсионного сканера ArF, что приводило к потере производительности. [36] Кроме того, для удержания ионного мусора может потребоваться сверхпроводящий магнит. [37]

Типичный инструмент EUV весит 180 тонн. [38]

Энергопотребление инструментов DUV и EUV (измерения в 2020 г.): [39] Инструменты EUV потребляют как минимум в 10 раз больше энергии, чем погружные инструменты.

Краткое изложение ключевых особенностей

В следующей таблице приведены ключевые различия между разрабатываемыми EUV-системами и погружными системами ArF , которые сегодня широко используются в производстве:

Различная степень разрешения инструментов с числовой апертурой 0,33 обусловлена ​​разными вариантами освещения. Несмотря на потенциал оптики по достижению разрешения менее 20 нм, вторичные электроны в резисте практически ограничивают разрешение примерно до 20 нм (подробнее об этом ниже). [49]

Мощность источника света, пропускная способность и время безотказной работы

Пропускная способность EUV как функция дозы. Производительность пластины прибора EUV на самом деле является функцией дозы облучения при фиксированной мощности источника.

Нейтральные атомы или конденсированное вещество не могут излучать EUV-излучение. Ионизация должна предшествовать EUV-излучению материи. Термическое производство многозарядных положительных ионов возможно только в горячей плотной плазме , которая сама сильно поглощает ЭУФ. [50] По состоянию на 2016 год общепринятым источником EUV-света является оловянная плазма с лазерным импульсом. [51] Ионы поглощают излучаемый ими EUV-свет и легко нейтрализуются электронами в плазме до более низких зарядовых состояний, которые производят свет в основном на других, непригодных для использования длинах волн, что приводит к значительному снижению эффективности генерации света для литографии при более высоких температурах плазмы. удельная мощность.

Пропускная способность привязана к мощности источника, разделенной на дозу. [52] Более высокая доза требует более медленного движения ступени (меньшая производительность), если мощность импульса невозможно увеличить.

Отражательная способность коллектора EUV ухудшается на ~0,1–0,3% на миллиард импульсов 50 кГц (~10% за ~2 недели), что приводит к потере времени безотказной работы и пропускной способности, при этом даже для первых нескольких миллиардов импульсов (в течение одного дня) все еще остается 20 % (+/-10%) колебания. [53] Это может быть связано с накоплением упомянутых выше остатков олова, которые не полностью удалены. [54] [55] С другой стороны, традиционные инструменты иммерсионной литографии для нанесения двойного рисунка обеспечивают стабильный результат на срок до года. [56]

В последнее время осветитель NXE:3400B имеет меньший коэффициент заполнения зрачка (PFR) до 20% без потерь передачи. [57] PFR максимален и превышает 0,2 при шаге металла 45 нм. [58]

Из-за использования EUV-зеркал, которые также поглощают EUV-свет, на пластине в конечном итоге попадает только небольшая часть исходного света. Для осветительной оптики используются 4 зеркала, для проекционной оптики — 6 зеркал. Маска EUV или прицельная сетка сами по себе являются дополнительным зеркалом. При 11 отражениях на пластине доступно только ~ 2% света источника EUV. [59]

На пропускную способность будет влиять доза сопротивления EUV, которая, в свою очередь, зависит от требуемого разрешения. [60] Ожидается, что для обеспечения адекватной пропускной способности будет поддерживаться доза 40 мДж/см2. [61]

Время безотказной работы инструмента

Источник света EUV ограничивает время безотказной работы инструмента, помимо производительности. Например, за двухнедельный период может быть запланировано более семи часов простоя, тогда как общее фактическое время простоя, включая незапланированные проблемы, может легко превысить день. [59] Ошибка дозы более 2% приводит к простою инструмента. [59]

В течение периода 2019-2022 гг. пропускная способность экспонирования пластин постоянно увеличивалась примерно до 1000 пластин в день (на систему), [62] [63] , что указывает на значительное время простоя, при этом при одновременной работе >120 WPH на ряде многошаблонных EUV слоев, в среднем для пластины EUV.

Сравнение с другими источниками света для литографии

EUV (10–121 нм) — полоса длиннее рентгеновских лучей (0,1–10 нм) и короче линии Лайман-альфа водорода .

В то время как современные эксимерные ArF- лазеры с длиной волны 193 нм обеспечивают интенсивность 200 Вт/см 2 , [64] лазеры для создания EUV-генерирующей плазмы должны быть гораздо более интенсивными, порядка 10 11 Вт/см 2 . [65] Современный источник света с иммерсионной литографией ArF мощностью 120 Вт требует не более 40 кВт [66] , в то время как источники EUV рассчитаны на мощность, превышающую 40 кВт. [67]

Целевая мощность для EUV-литографии составляет не менее 250 Вт, тогда как для других традиционных источников литографии она намного меньше. [59] Например, источники света для иммерсионной литографии рассчитаны на 90 Вт, сухие источники ArF — на 45 Вт, а источники KrF — на 40 Вт. Ожидается, что источники EUV с высокой числовой апертурой потребуют не менее 500 Вт. [59]

Оптические проблемы, специфичные для EUV

Светоотражающая оптика

Разница фокуса EUV HV. Горизонтальные (H) и вертикальные (V) элементы рисунка маски (сетки) фокусируются по-разному в оптических системах EUV. Числовая апертура (NA) также имеет значение.

Фундаментальным аспектом инструментов EUVL, обусловленным использованием отражающей оптики, является внеосевое освещение (под углом 6 градусов, в разном направлении и в разных положениях внутри осветительной щели) [68] на многослойной маске (сетке). . Это приводит к эффектам затенения, приводящим к асимметрии дифракционной картины, что ухудшает точность изображения различными способами, как описано ниже. [69] [70] Например, одна сторона (за тенью) будет выглядеть ярче, чем другая (внутри тени). [71]

Поведение световых лучей внутри плоскости отражения (влияющих на горизонтальные линии) отличается от поведения световых лучей вне плоскости отражения (влияющих на вертикальные линии). [72] Наиболее заметно то, что горизонтальные и вертикальные линии одинакового размера на маске EUV напечатаны на пластине разного размера.

Разница между 2-мя полосами CD и фокусом. Разница между шириной двух соседних горизонтальных линий варьируется в зависимости от фокуса.

Сочетание внеосевой асимметрии и эффекта затенения маски приводит к фундаментальной неспособности двух одинаковых объектов, даже находящихся в непосредственной близости, одновременно находиться в фокусе. [73] Одной из ключевых проблем EUVL является асимметрия между верхней и нижней линией пары горизонтальных линий (так называемая «двухполоска»). Некоторыми способами частичной компенсации являются использование вспомогательных функций, а также асимметричное освещение. [74]

Распространение двухстержневого случая на решетку, состоящую из множества горизонтальных линий, показывает аналогичную чувствительность к дефокусировке. [75] Это проявляется в разнице критических размеров (CD) между линиями верхнего и нижнего края набора из 11 горизонтальных линий.

Поляризация путем отражения также приводит к частичной поляризации EUV-света, что способствует отображению линий, перпендикулярных плоскости отражений. [76] [77]

Сдвиг рисунка из-за расфокусировки (нетелецентричность)

Из-за разных фазовых сдвигов от отражения от маски EUV разные углы освещения приводят к разным сдвигам. Это приводит к снижению контрастности изображения , также известному как выцветание.

Поглотитель маски EUV из-за частичного пропускания генерирует разность фаз между 0-м и 1-м порядками дифракции линейно-пространственной структуры, что приводит к сдвигам изображения (при заданном угле освещения), а также к изменениям пиковой интенсивности (что приводит к увеличению ширины линии). изменения) [78] [79] , которые еще больше усиливаются из-за расфокусировки. [80] [81] В конечном итоге это приводит к разным положениям наилучшей фокусировки для разных шагов и разных углов освещения. Как правило, сдвиг изображения уравновешивается за счет спаривания точек источника освещения (каждая находится на противоположных сторонах оптической оси). Однако отдельные изображения накладываются, и результирующий контраст изображения ухудшается, когда сдвиги отдельных исходных изображений достаточно велики. Разность фаз в конечном итоге также определяет лучшее положение фокусировки.

Мультислой также отвечает за сдвиг изображения из-за фазовых сдвигов от дифрагированного света внутри самого мультислоя. [82] Это неизбежно из-за того, что свет дважды проходит через шаблон маски. [83]

Использование отражения приводит к тому, что положение экспозиции пластины становится чрезвычайно чувствительным к плоскостности сетки и зажиму сетки. Поэтому необходимо поддерживать чистоту зажима прицельной марки. Небольшие (в миллирадах) отклонения плоскостности маски на локальном наклоне в сочетании с дефокусировкой пластины. [84] Что еще более важно, было обнаружено, что расфокусировка маски приводит к большим ошибкам наложения. [85] [86] В частности, для узлового металлического слоя 1 толщиной 10 нм (включая шаг 48 нм, 64 нм, 70 нм, изолированные линии и линии электропередачи) неисправимая ошибка размещения рисунка составляла 1 нм для маски z- 40 нм. сдвиг позиции. [87] Это глобальный сдвиг шаблона слоя относительно ранее определенных слоев. Однако элементы в разных местах также будут смещаться по-разному из-за различных локальных отклонений от плоскостности маски, например, из-за дефектов, скрытых под мультислоем. Можно подсчитать, что вклад неплоскостности маски в ошибку наложения примерно в 1/40 раза превышает изменение толщины от пика к впадине. [88] При пустом диапазоне размаха 50 нм возможна ошибка размещения изображения ~1,25 нм. Также вносят свой вклад изменения толщины бланка до 80 нм, что приводит к сдвигу изображения до 2 нм. [88]

Внеосевое освещение сетки также является причиной нетелецентричности при дефокусировке пластины, которая потребляет большую часть бюджета наложения 1,4 нм сканера NXE:3400 EUV [89] даже для таких правил проектирования, как шаг 100 нм. [90] Наихудшая неисправимая ошибка размещения рисунка для линии 24 нм составляла около 1,1 нм по отношению к соседней линии электропередачи 72 нм на сдвиг положения фокуса пластины на 80 нм в положении одной щели; с учетом характеристик поперек щели наибольшая ошибка составляет более 1,5 нм в окне дефокусировки пластины [87] . В 2017 году актинический микроскоп, имитирующий систему литографии EUV 0,33 NA с освещением квазара 45 0,2/0,9, показал, что шаг контакта 80 нм массив сместился от -0,6 до 1,0 нм, тогда как контактный массив с шагом 56 нм сместился на -1,7-1,0 нм относительно горизонтальной опорной линии в пределах окна дефокусировки +/- 50 нм. [91]

Расфокусировка пластины также приводит к ошибкам размещения изображения из-за отклонений от плоскостности локальной маски. Если локальный наклон обозначен углом α, изображение проецируется как сдвинутое в проекционном инструменте 4× на 8α × (DOF/2) = 4αDOF , где DOF — это глубина фокуса. [92] Для глубины фокуса 100 нм небольшое локальное отклонение от плоскостности в 2,5 мрад (0,14°) может привести к сдвигу структуры на 1 нм.

Моделирование, а также эксперименты показали, что дисбаланс зрачков в EUV-литографии может привести к ошибкам размещения рисунка, зависящим от шага. [93] [94] Поскольку дисбаланс зрачков меняется по мере старения или загрязнения зеркала коллектора EUV, такие ошибки размещения могут быть нестабильными с течением времени. Ситуация особенно сложна для логических устройств, где одновременно предъявляются критические требования к нескольким шагам. [95] В идеале эту проблему можно решить с помощью многократной экспозиции с индивидуальным освещением. [96] [97]

Зависимость положения щели

Вращение освещения поперек кольцевой щели. Свет , отраженный от изогнутых оптических поверхностей, создаст сегменты дуги . [98] Углы освещения поворачиваются по азимуту через дугообразную щель (справа) вследствие отражения дугообразного изображения от каждого положения зрачка в качестве точечного источника (слева). [99] [100] Зависящая от угла и длины волны картина распределения многослойной отражательной способности поворачивается соответствующим образом.

Направление освещения также сильно зависит от положения щели, которая по существу повернута по азимуту. [101] [102] [46] [103] [104] [105] Nanya Technology и Synopsys обнаружили, что горизонтальное и вертикальное смещение изменилось поперек щели при дипольном освещении. [106] Вращающаяся плоскость падения (азимутальный диапазон от -25° до 25°) подтверждена актиничным обзорным микроскопом SHARP в CXRO, который имитирует оптику систем проекционной литографии EUV. [107] Причина этого в том, что зеркало используется для преобразования прямых прямоугольных полей в дугообразные поля. [108] [109] Чтобы сохранить фиксированную плоскость падения, отражение от предыдущего зеркала будет под другим углом к ​​поверхности для другого положения щели; это вызывает неравномерность отражательной способности. Для сохранения единообразия используется вращательная симметрия с вращающейся плоскостью падения. [110] В более общем смысле, так называемые системы «кольцевого поля» уменьшают аберрации, полагаясь на вращательную симметрию дугообразного поля, полученного из внеосевого кольца. [111] Это предпочтительно, поскольку отражающие системы должны использовать внеосевые пути, которые усугубляют аберрации. Следовательно, идентичные схемы матрицы в разных половинах дугообразной щели потребуют разного OPC . Это делает их непроверяемыми при сравнении кристаллов, поскольку они больше не являются действительно идентичными штампами. Для шагов, требующих дипольного, квадрупольного или гексапольного освещения, вращение также вызывает несоответствие с той же компоновкой рисунка в другом положении щели, т. е. край по отношению к центру. Даже при кольцевом или круговом освещении вращательная симметрия нарушается из-за зависимого от угла многослойного отражения, описанного выше. Хотя диапазон азимутальных углов составляет +/- ~20° [112] ( полевые данные NXE3400 [113] показывают 18,2° [114] ) на сканерах с числовой апертурой 0,33, при нормах проектирования 7 нм (шаг 36–40 нм) допуск на освещенность может составлять +/-15°, [115] [116] или даже меньше. [117] [118] [113] Кольцевая неравномерность и асимметрия освещения также существенно влияют на визуализацию. [119] При шаге 28 нм требуемое дипольное освещение становится невозможным для поддержания через щель в системах EUV с числовой апертурой 0,33. [120]

Больший угол падения для тенденции дипольной освещенности, зависящей от тона, через щель не так сильно влияет на затенение горизонтальной линии, но затенение вертикальной линии действительно увеличивается при движении от центра к краю. [121] Кроме того, системы с более высокой числовой апертурой могут обеспечить ограниченное облегчение от затенения, поскольку они ориентированы на меньшие высоты звука. [121]

Горизонтальные и вертикальные линии дают различное затенение на щели.

Зависимость положения щели особенно сложна для шаблонов наклона, встречающихся в DRAM. [104] Помимо более сложных эффектов, связанных с затенением и вращением зрачка, наклонные края преобразуются в форму лестницы, которая может быть искажена OPC. Фактически, DRAM с шагом 32 нм от EUV удлинится как минимум до 9F 2 площади ячейки, где F = половина шага активной области (традиционно это было 6F 2 ). [106] При двумерном самовыравнивающемся разрезе активной области с двойным рисунком площадь ячейки все еще ниже и составляет 8,9F 2 . [122]

Аберрации , возникающие из-за отклонений оптических поверхностей от субатомных (<0,1 нм) характеристик [123] , а также термических деформаций [124] [125] и, возможно, включая эффекты поляризованного отражения [126] , также зависят от положения щели [127] [125] , как будет дополнительно обсуждаться ниже в отношении оптимизации маски источника (SMO). Ожидается, что термически индуцированные аберрации будут проявлять различия в разных положениях щели, что соответствует разным положениям поля, поскольку каждое положение сталкивается с разными частями деформированных зеркал. [128] По иронии судьбы, использование материалов подложки с высокой термической и механической стабильностью затрудняет компенсацию ошибок волнового фронта [129]

В сочетании с диапазоном длин волн повернутая плоскость падения усугубляет и без того серьезное стохастическое воздействие на EUV-изображения. [130]

Полоса пропускания длины волны ( хроматическая аберрация )

Смещение изображения из-за расфокусировки зависит от длины волны. Угловая зависимость многослойной отражательной способности объекта (маски) различна для разных длин волн, что приводит к различным смещениям при расфокусировке.

В отличие от источников для литографии глубокого ультрафиолета (DUV), основанных на эксимерных лазерах, плазменные источники EUV излучают свет в широком диапазоне длин волн [131], охватывая примерно 2% полосы пропускания на полувысоте около 13,5 нм (13,36–13,65 нм при мощности 50%). EUV (10–121 нм) — это полоса длиннее, чем у рентгеновских лучей (0,1–10 нм), и короче, чем линия Лайман-альфа водорода .

Хотя спектр EUV не является полностью монохроматическим и даже не настолько спектрально чистым, как у лазерных источников DUV, рабочая длина волны обычно принимается равной 13,5 нм. На самом деле отраженная мощность распределяется преимущественно в диапазоне 13,3–13,7 нм. [132] Полоса пропускания EUV-света, отраженного многослойным зеркалом, используемым для EUV-литографии, превышает +/-2% (>270 пм); [133] можно рассчитать изменения фазы из-за изменений длины волны при заданном угле освещения [134] и сравнить их с балансом аберраций. [135] Зависимость коэффициента отражения от длины волны [134] [132] также влияет на аподизацию или распределение освещения по зрачку (для разных углов); волны разной длины эффективно «видят» разное освещение, поскольку они по-разному отражаются от многослойной маски. [136] [132] Этот эффективный наклон источника освещения может привести к большим смещениям изображения из-за расфокусировки. [137] И наоборот, пиковая отраженная длина волны варьируется в зависимости от зрачка из-за разных углов падения. [132] [138] Это усугубляется, когда углы охватывают широкий радиус, например, при кольцевом освещении. Пиковая длина волны отражения увеличивается при меньших углах падения. [139] Апериодические многослойные материалы были предложены для снижения чувствительности за счет более низкой отражательной способности, но они слишком чувствительны к случайным колебаниям толщины слоев, например, из-за неточности контроля толщины или взаимной диффузии. [140] В частности, расфокусированные плотные линии с шагом, в два раза превышающим минимальный разрешаемый шаг, страдают от смещения края в зависимости от длины волны. [141]

Более узкая полоса пропускания повысит чувствительность к толщине поглотителя маски и буфера в масштабе 1 нм. [142] [143]

Вспышка

Блик — это наличие фонового света, возникающего в результате рассеяния элементов поверхности, которые не разрешаются светом. В системах EUV этот свет может быть EUV или внеполосным (OoB) светом, который также создается источником EUV. Свет OoB усложняет воздействие на экспозицию резиста способами, отличными от воздействия EUV. Воздействие внеполосного света можно уменьшить за счет слоя, нанесенного поверх резиста, а также элементов «черной рамки» на маске EUV. [144] Однако слой покрытия неизбежно поглощает EUV-свет, а черная рамка увеличивает затраты на обработку EUV-маски.

Эффекты кончика линии

Ключевой проблемой для EUV является противомасштабное поведение расстояния между кончиками лески (T2T) при уменьшении половины шага (hp). [117] Частично это связано с более низким контрастом изображения для бинарных масок, используемых в EUV-литографии, который не встречается при использовании масок фазового сдвига в иммерсионной литографии. [145] [146] Скругление углов конца строки приводит к укорочению конца строки, [147] и это хуже для бинарных масок. [148] Использование фазовых масок в EUV-литографии изучалось, но сталкивается с трудностями из-за контроля фазы в тонких слоях [149] , а также из-за ширины полосы самого EUV-излучения. [150] Более традиционно, оптическая коррекция близости (OPC) используется для решения проблемы скругления углов и укорочения концов линии. Несмотря на это, было показано, что разрешение от кончика к кончику и возможность печати на кончике линии противопоставляются друг другу, фактически являясь компакт-дисками противоположной полярности. [151]

В однонаправленных металлических слоях расстояние между кончиками является одной из наиболее серьезных проблем при нанесении рисунка однократного воздействия. Для вертикальных линий с шагом 40 нм номинальный зазор между кончиками и кончиками в 18 нм привел к тому, что фактическое расстояние между кончиками и кончиками при использовании OPC составило 29 нм, [117] , тогда как для горизонтальных линий с шагом 32 нм расстояние между кончиками и кончиками составляло 29 нм. Расстояние кончика с номинальным зазором 14 нм увеличилось до 31 нм с OPC. [152] Эти фактические расстояния между наконечниками определяют нижний предел полушага металла, движущегося в направлении, перпендикулярном наконечнику. В этом случае нижний предел составляет около 30 нм. При дальнейшей оптимизации освещения (обсуждаемой в разделе об оптимизации маски источника) нижний предел может быть уменьшен примерно до 25 нм. [153]

Для больших шагов, где можно использовать обычное освещение, расстояние между кончиками лески обычно больше. Для линий с полушагом 24 нм и номинальным зазором 20 нм расстояние на самом деле составляло 45 нм, тогда как для линий с полушагом 32 нм тот же номинальный зазор приводил к расстоянию между кончиками и кончиками 34 нм. [152] При использовании OPC они становятся 39 нм и 28 нм для полушага 24 нм и полушага 32 нм соответственно. [154]

Возможности улучшения для формирования EUV-паттернов

Вспомогательные функции

Вспомогательная функция OPC. Вспомогательные функции помогают улучшить изображение изолированных объектов (синий), чтобы они больше походили на плотные объекты (серый). Однако чем они эффективнее, тем выше риск того, что вспомогательная функция напечатает (оранжевый цвет).

Вспомогательные функции часто используются, чтобы помочь сбалансировать асимметрию из-за нетелецентричности в разных положениях щели из-за разных углов освещения, начиная с узла 7 нм, [155] [156] , где шаг составляет ~ 41 нм для длины волны ~ 13,5 нм. и NA=0,33, что соответствует k1 ~ 0,5. [157] Однако асимметрия уменьшается, но не устраняется, поскольку вспомогательные функции в основном усиливают самые высокие пространственные частоты, тогда как промежуточные пространственные частоты, которые также влияют на фокус и положение функции, не сильно затрагиваются. Связь между первичным изображением и представлениями о себе слишком сильна, чтобы асимметрию можно было устранить с помощью вспомогательных функций; этого можно добиться только асимметричным освещением. [74] Вспомогательные функции также могут мешать доступу к шинам питания/земли. Ожидается, что силовые шины будут шире, что также ограничивает эффективность использования вспомогательных функций из-за ограничения местного шага. Локальные шаги, составляющие от 1× до 2× минимального шага, запрещают размещение вспомогательных элементов, поскольку просто нет места для сохранения симметрии локального шага. Фактически, для случая асимметрии с двумя стержнями оптимальное размещение вспомогательных элементов может быть меньше или превышать шаг двух стержней. [156] В зависимости от параметра, подлежащего оптимизации (площадь окна обработки, глубина фокуса, широта экспозиции), оптимальная конфигурация вспомогательной функции может сильно различаться, например, шаг между вспомогательной функцией и полосой отличается от шага двух полосок, симметричный. или асимметричный и т. д.

При шагах меньше 58 нм существует компромисс между увеличением глубины резкости и потерей контрастности за счет размещения вспомогательных функций. [156] Как правило, все еще существует компромисс между фокусом и экспозицией, поскольку окно дозы ограничено необходимостью предотвращения случайной печати вспомогательных функций.

Дополнительную проблему вызывает дробовой шум; [158] Вспомогательные функции пониженного разрешения (SRAF) позволяют снизить требуемую дозу, чтобы случайно не распечатать вспомогательные функции. [159] Это приводит к тому, что меньшее количество фотонов определяет более мелкие детали (см. обсуждение в разделе о дробовом шуме).

Поскольку SRAF представляют собой меньшие по размеру элементы, чем основные элементы, и не должны получать достаточно высокие дозы для печати, они более восприимчивы к стохастическим изменениям дозы, вызывающим ошибки печати. [160] Это особенно недопустимо для EUV, где даже когда основной элемент печатается при 80 мДж/см 2 , SRAF страдает от стохастической печати.

Оптимизация исходной маски

Влияние высоты тона на SMO. SMO, проводимый для одного питча, может иметь разную эффективность для других питчей.

Из-за эффектов нетелецентричности стандартные формы зрачков освещения, такие как диск или кольцо, недостаточны для использования для элементов размером ~ 20 нм или меньше (узел 10 нм и выше). [90] Вместо этого некоторые части зрачка (часто более 50%) должны быть асимметрично исключены. Части, которые следует исключить, зависят от шаблона. В частности, самые плотные разрешенные линии должны быть выровнены в одном направлении и предпочитать форму диполя. В этой ситуации для двумерных рисунков потребуется литография с двойной экспозицией из-за присутствия как X-, так и Y-ориентированных рисунков, каждый из которых требует своей собственной маски одномерного рисунка и ориентации диполя. [161] [162] Может быть 200–400 освещающих точек, каждая из которых вносит свой вес дозы, чтобы сбалансировать общее изображение посредством фокусировки. Таким образом, эффект дробового шума (который будет обсуждаться позже) критически влияет на положение изображения при фокусировке в большом количестве объектов.

Двойной или множественный рисунок также потребуется, если узор состоит из подструктур, которые требуют значительно различающегося оптимизированного освещения из-за разного шага, ориентации, формы и размеров.

Влияние положения щели и аберраций

Воздействие различных длин волн. Разные длины волн фактически имеют разные зрачки, что приводит к разным результатам оптимизации маски источника.

Во многом из-за формы щели [112] и наличия остаточных аберраций [163] эффективность SMO варьируется в зависимости от положения щели. [164] В каждом положении щели существуют разные аберрации [127] и разные азимутальные углы падения, приводящие к разному затенению. [46] Следовательно, могут существовать неисправленные вариации поперек щели для чувствительных к аберрациям элементов, которые могут быть неочевидны при использовании обычных шаблонов линейного пространства. [156] В каждом положении щели, хотя оптическая коррекция близости (OPC) , включая упомянутые выше вспомогательные функции, также может применяться для устранения аберраций, [165] [166] они также учитывают характеристики освещения, [167] [ 164] [168] [169] , поскольку преимущества различаются для разных условий освещенности. [165] Это потребовало бы использования различных комбинаций источника-маски в каждом положении щели, т.е. нескольких экспозиций маски на слой. [127] [170]

Вышеупомянутые хроматические аберрации, возникающие из-за аподизации, вызванной маской, [136] также приводят к несогласованной оптимизации маски источника для разных длин волн.

Окна фокусировки, зависящие от высоты тона

Наилучшая фокусировка для объекта данного размера зависит от угла наклона, полярности и ориентации при данном освещении. [171] При шаге 36 нм горизонтальные и вертикальные элементы темного поля имеют разницу в фокусе более 30 нм. Элементы с шагом 34 нм и шагом 48 нм имеют наибольшую разницу в лучшей фокусировке независимо от типа элемента. В диапазоне шага 48–64 нм лучшее положение фокуса смещается примерно линейно в зависимости от шага на целых 10–20 нм. [172] Для диапазона шага 34–48 нм наилучшее положение фокуса смещается примерно линейно в противоположном направлении в зависимости от шага. Это можно соотнести с разностью фаз между нулевым и первым порядками дифракции. [173] Было обнаружено, что вспомогательные функции, если они могут поместиться в пределах шага, не сильно уменьшают эту тенденцию для диапазона промежуточных шагов [174] или даже ухудшают ее в случае 18–27 нм и освещения квазара. [175] Контактные отверстия диаметром 50 нм при шаге 100 нм и шаге 150 имели наилучшие положения фокусировки, разделенные примерно 25 нм; ожидается, что меньшие функции будут хуже. [176] Контактные отверстия в диапазоне шага 48–100 нм показали лучший диапазон фокусировки 37 нм. [177] Наилучшее положение фокуса в зависимости от высоты тона также зависит от сопротивления. [178] Критические слои часто содержат линии с одним минимальным шагом одной полярности, например, траншеи темного поля, в одной ориентации, например, вертикальной, смешанные с пространствами другой полярности другой ориентации. Это часто увеличивает лучшие различия в фокусе и усложняет получение изображений от кончика к кончику и от кончика к линии. [179]

Уменьшение наполнения зрачков

Вращение зрачка поперек щели приводит к использованию гораздо более низкого заполнения зрачка (внутри трапеций или прямоугольников) для дипольного освещения.

Следствием SMO и смещения фокуса окон стало уменьшение заполнения зрачков. Другими словами, оптимальное освещение обязательно представляет собой оптимизированное перекрытие предпочтительных освещений для различных шаблонов, которые необходимо учитывать. Это приводит к меньшему заполнению зрачков, обеспечивая лучшие результаты. Однако пропускная способность снижается при заполнении зрачка ниже 20% из-за поглощения. [180] [181] [182] [183] ​​[57]

Маски фазового сдвига

Фазовый профиль маски ослабленного фазового сдвига для EUV. Фазовый профиль (красный) для маски с ослабленным фазовым сдвигом, используемой с частично пропускающим поглотителем EUV, не соответствует идеальной конструкции профиля (пунктирный) из-за освещения под углом падения и рассеяния на краях поглотителя.

Обычно рекламируемым преимуществом EUV является относительная простота литографии, о чем свидетельствует отношение размера элемента к длине волны, умноженное на числовую апертуру, также известное как соотношение k1. Например, ширина линии металла 18 нм имеет k1 0,44 для длины волны 13,5 нм, 0,33 NA. Для k1, приближающегося к 0,5, использовалось небольшое повышение разрешения, включая ослабленные маски фазового сдвига, что необходимо для производства с длиной волны ArF-лазера (193 нм), [184] [185] [186] [187] [188] [189] тогда как это улучшение разрешения недоступно для EUV. [190] [191] [192] В частности, эффекты трехмерной маски, включая рассеяние на краях поглотителя, искажают желаемый фазовый профиль. [191] Кроме того, фазовый профиль фактически получается из спектра плоской волны, отраженной от многослоя через поглотитель, а не из падающей плоской волны. [193] Без поглотителей искажение в ближнем поле также возникает на травленой многослойной боковой стенке из-за наклонного падения освещения; [194] некоторый свет проходит лишь ограниченное количество бислоев вблизи боковой стенки. [71] Кроме того, разные поляризации (TE и TM) имеют разные фазовые сдвиги. [71] По сути, бесхромовая маска фазового сдвига обеспечивает разделение основного тона за счет подавления нулевого дифрагированного порядка на маске, но изготовление высококачественной маски фазового сдвига для EUV, безусловно, не является тривиальной задачей. Один из возможных способов добиться этого — пространственная фильтрация на плоскости Фурье шаблона маски. В Национальной лаборатории Лоуренса Беркли свет нулевого порядка представляет собой систему с центральным затемнением, а дифрагированные порядки +/-1 будут улавливаться чистой апертурой, обеспечивая функциональный эквивалент бесхромной маски фазового сдвига при использовании обычной бинарной амплитуды. маска. [195]

Экспонирование фоторезиста EUV: роль электронов

EUV-свет генерирует фотоэлектроны при поглощении веществом. Эти фотоэлектроны, в свою очередь, генерируют вторичные электроны, которые замедляются перед тем, как вступить в химические реакции. [196] Известно, что при достаточных дозах электроны с энергией 40 эВ проникают в резист толщиной 180 нм, что приводит к развитию. [197] При дозе 160 мкКл/см 2 , что соответствует дозе EUV 15 мДж/см 2 при условии, что один электрон/фотон, электроны с энергией 30 эВ удалили 7 нм резиста ПММА после стандартного проявления. [198] Для более высокой дозы 30 эВ, равной 380 мкКл/см 2 , что эквивалентно 36 мДж/см 2 на один электрон/фотон, удаляется 10,4 нм резиста ПММА. [199] Они указывают расстояния, на которые электроны могут преодолевать сопротивление, независимо от направления. [200]

В ходе самого последнего измерения значительного влияния вторичных электронов на разрешение было обнаружено, что фотоэлектроны с энергией 93 эВ (из золотого подслоя) имели длину затухания 1/e в резисте 28 нм. [201] Ослабление числа электронов измерялось по доле электронов, захваченных в электрический ток из резиста. Это указывает на то, что 37% высвободившихся электронов все еще мигрируют за пределы 28 нм от точки высвобождения. В EUVL используются два типа резистов: CAR (химически амплифицированные резисты) и металлоксидные резисты, содержащие нанокластеры оксидов металлов, часто содержащие оксид олова. [202]

Резист с более высокой поглощающей способностью удаляет больше света в верхней части резиста, оставляя меньше света в нижней части резиста. Чем большее поглощение приводит к большей и более существенной разнице между поглощенными дозами в верхней и нижней части резиста.

Другими словами, чем меньше поглощает резист, тем более равномерно по вертикали поглощение. Традиционно фоторезисты делают максимально прозрачными, чтобы добиться вертикальной однородности, что позволяет получить более прямые профили резиста. С другой стороны, для EUV это противоречит цели увеличения поглощения для большей чувствительности при текущих уровнях мощности EUV. Дробовой шум является еще одной проблемой, которая будет объяснена ниже.

Влияние перемещения фотоэлектронов и вторичных электронов на разрешение

Миграция электронов низкой энергии. Расстояние перемещения электрона низкой энергии (r) может достигать как минимум нескольких нанометров, даже несмотря на то, что расстояние между столкновениями (рассеянием) электронов составляет 1 нм.
Фотоэлектроны имеют случайный разброс, который увеличивается с накопленной дозой.
Стохастическая функция рассеяния электронов, свернутая с функцией рассеяния фиксированной оптической точки, добавляет изменчивость фактическому разрешению.

Исследование Колледжа наномасштабной науки и техники (CNSE), представленное на семинаре EUVL в 2013 году, показало, что в качестве меры EUV-фотоэлектронов и размытия вторичных электронов электроны с энергией 50–100 эВ легко проникают за пределы толщины резиста 15 нм (ПММА или коммерческий резист), что указывает на диапазон воздействия резиста более 30 нм с центром в точке поглощения EUV для доз, превышающих 200–300 мкК/см 2 . [203] Это можно сравнить с ухудшением контрастности изображения, о котором сообщалось позже в 2015 году для шагов менее 40 нм. [204]

Процесс проникновения электронов через резист по существу является стохастическим процессом; существует конечная вероятность того, что сопротивление воздействию высвободившихся электронов может произойти довольно далеко от точки поглощения фотона. [205] [206] Увеличение дозы увеличивает количество далеко идущих электронов, что приводит к более длительной потере сопротивления. Ведущий EUV-химически усиленный резист, подвергнутый воздействию электронов с энергией 80 эВ и дозой до 80 мк/см 2 , показал потерю толщины резиста до 7,5 нм. [207] Для резиста с открытым исходным кодом, экспонированного электронами с энергией 80 эВ около 200 мкКл/см 2 , толщина резиста, потерянная после обжига и проявления после экспонирования, составила около 13 нм, а удвоение дозы привело к увеличению потерь до 15 нм. [208] С другой стороны, при дозах >500 мкК/см 2 резист начинает утолщаться за счет сшивки. [207]

Показано, что степень эмиссии фотоэлектронов из слоя, лежащего под EUV-фоторезистом, влияет на глубину фокуса. [209] К сожалению, слои жесткой маски имеют тенденцию увеличивать фотоэлектронную эмиссию, ухудшая глубину резкости. Электроны от расфокусированных изображений в резисте также могут повлиять на изображение с лучшей фокусировкой. [210]

Генерация фотоэлектронов в самом фоторезисте является фундаментальной частью формирования изображения и характеризуется случайным разбросом, который увеличивается с увеличением дозы. [211] [212] [213]

Случайность количества вторичных электронов сама по себе является источником стохастического поведения в изображениях резиста EUV. [214] [213] Сам масштаб электронного размытия имеет распределение. [215] [216] [217] [218] Intel продемонстрировала с помощью строгого моделирования, что электроны, выпущенные EUV, рассеиваются на расстояния, превышающие 15 нм в EUV-резистах. [219] [220]

На электронное размытие также влияет полное внутреннее отражение от верхней поверхности пленки резиста. [221] [222]

Размытие вторичных электронов в зависимости от дозы

Размытие вторичных электронов в зависимости от дозы. Размытие вторичных электронов может значительно увеличиваться с увеличением дозы.

Измерения прямого фототока использовались для получения выходов вторичных электронов в ответ на EUV-излучение. Моделирование, грубо рассчитанное на этот выход, показывает, что размытие вторичных электронов увеличивается с увеличением дозы. [223] Это связано с меньшим количеством мест захвата по мере увеличения дозы и продолжения реакций, истощающих сайты захвата.

Количество событий, индуцированных электронами низкой энергии, также увеличивается на заданном расстоянии от места поглощения фотонов по мере увеличения дозы. [224] Это было подтверждено измерениями потери толщины резиста в зависимости от дозы низкоэнергетических электронов. [225] Дозозависимое распространение вторичных электронов было известно и ранее из электронно-лучевой литографии. [226]

Увеличение размытия вторичных электронов с увеличением дозы затрудняет контроль стохастических дефектов. [227]

Влияние нижележащих слоев

Электроны из слоев под резистом могут повлиять на профиль и начало коллапса.

Вторичные электроны из слоев под резистом могут повлиять на профиль резиста, а также на разрушение рисунка. [228] Следовательно, выбор подложки и слоя под этим слоем является важным фактором для EUV-литографии. Более того, электроны из расфокусированных изображений могут усугубить стохастический характер изображения. [229]

Зарядка и захват электронов

Из-за образования вторичных электронов различной энергии заряд резиста может локально колебаться. [230] Экспозиция EUV с меньшим размытием приводит к более выраженным различиям зарядов на краю элемента, [231] что может привести к увеличению электрических полей. [232] Было замечено, что такие большие электрические поля приводят к пробою диэлектрика. [230] Захват вторичных электронов приводит к уменьшению количества вторичных электронов, эмитируемых из пленки; [230] однако места ловушек сами по себе могут быть истощены, что приводит к эффективному расширению размытия вторичных электронов при больших дозах. [223] Предполагается, что захват электронов происходит как часть поляронного поведения, [233] [234] которое ограничивает окончательное выделение энергии электрона местами ловушек. Размер полярона в резистах может быть весьма большим, например, 46 нм в ПММА. [234]

Эффекты загрязнения

Сопротивляться дегазации

Выделение газа в сравнении с дозой EUV: Увеличение дозы до размера ( размер E ) для уменьшения дробового шума и шероховатости происходит за счет увеличения загрязнения в результате выделения газа . Показанная здесь толщина загрязнения указана относительно эталонного резиста.

Из-за высокой эффективности поглощения EUV фоторезистами нагрев и выделение газа становятся первоочередными проблемами. Одной из хорошо известных проблем является осаждение загрязнений на резисте из окружающих или выделяющихся газов углеводородов, что является результатом EUV- или электронных реакций. [235] Органические фоторезисты выделяют углеводороды [236] , а металлооксидные фоторезисты выделяют воду и кислород [237] и металл (в водородной среде); последнее невозможно очистить. [55] Известно, что углеродное загрязнение влияет на многослойную отражательную способность [238], в то время как кислород особенно вреден для рутениевых покрывающих слоев (относительно стабильных в условиях EUV и водорода) на многослойной EUV-оптике. [239]

Переосаждение олова

Атомарный водород в камерах инструментов используется для очистки олова и углерода , которые откладываются на оптических поверхностях EUV. [240] Атомарный водород получается с помощью EUV-излучения, непосредственно фотоионизирующего H 2 :

hν + H 2 → H + + H + e [241]

Электроны, образующиеся в вышеуказанной реакции, также могут диссоциировать H 2 с образованием атомарного водорода:

е  + Н 2 → Н + + Н + 2e [241]

Реакция с оловом в источнике света (например, оловом на оптической поверхности источника) с образованием летучего SnH4 (станнана), который можно откачивать из источника, протекает по реакции:

Sn(т) + 4H(г) → SnH 4 (г). [240]

SnH 4 может достигать покрытий других EUV-оптических поверхностей, где он переосаждает Sn по реакции:

SnH 4 → Sn(т) + 2H 2 (г). [240]

Переотложение может происходить и в результате других промежуточных реакций. [242]

Переосажденный Sn [54] [55] может быть впоследствии удален воздействием атомарного водорода. Однако в целом эффективность очистки олова (отношение удаленного флюса олова из образца олова к потоку атомарного водорода к образцу олова) составляет менее 0,01%, что обусловлено как переосаждением, так и десорбцией водорода, приводящей к образованию молекул водорода. за счет атомарного водорода. [240] Эффективность очистки олова от оксида олова оказывается примерно в два раза выше, чем от олова (с слоем естественного оксида ~ 2 нм на нем). [240] Нагнетание небольшого количества кислорода в источник света может улучшить скорость очистки олова.

Вздутие водорода

Дефекты в виде пузырей, вызванных водородом. Атомарный водород (красные точки), используемый для очистки поверхностей, может проникать под поверхность. В мультислоях Mo/Si образуется и захватывается H 2 (парные красные точки), что приводит к образованию блистера (белой области).

Водород также реагирует с металлосодержащими соединениями, восстанавливая их до металла [243] и диффундирует через кремний [244] и молибден [245] в многослойном слое, в конечном итоге вызывая образование пузырей. [246] [247] [248] Покрывающие слои, которые смягчают повреждения, связанные с водородом, часто снижают отражательную способность до уровня значительно ниже 70%. [247] Известно, что закрывающие слои проницаемы для окружающих газов, включая кислород [249] и водород, [250] [251] [252] [253] , а также подвержены водородным вздутиям. [254] [246] Водород также может реагировать с покрывающим слоем, что приводит к его удалению. [255]

Олово плевок

Водород может проникать в расплавленное олово (Sn), создавая внутри него пузырьки водорода. Если пузырьки движутся по поверхности расплавленного олова, то он лопается вместе с оловом, в результате чего олово растекается в большом диапазоне углов. Это явление называется плевком олова и является одним из источников загрязнения EUV Collector.

Сопротивляться эрозии

Водород также реагирует с резистами, травя [256] [257] или разлагая [258] их. Помимо фоторезиста, водородная плазма также может травить кремний, хотя и очень медленно. [259] [ нужен неосновной источник ]

Мембрана

Чтобы смягчить вышеуказанные эффекты, новейший инструмент EUV, представленный в 2017 году, NXE:3400B, оснащен мембраной, которая отделяет пластину от проекционной оптики инструмента, защищая последнюю от выделения газа из резиста на пластине. [57] Мембрана содержит слои, которые поглощают DUV- и IR-излучение и пропускают 85–90% падающего EUV-излучения. Конечно, существует накопленное загрязнение от выделения газа из пластины, а также частицы в целом (хотя последние не в фокусе, они все равно могут препятствовать свету).

EUV-индуцированная плазма

Системы EUV-литографии, использующие EUV-свет, работают в фоновом водородном газе с давлением 1–10 Па. [260] Плазма является источником ВУФ-излучения [261] , а также электронов и ионов водорода [262]. Известно, что эта плазма травит открытые материалы. [262] [263] Плазменное излучение также представляет собой не-EUV воздействие на EUV-резисты, что приводит к увеличению стохастической плотности дефектов. [264]

Маска дефектов

Печатаемость дефектов EUV в зависимости от шага. Печатаемость (здесь 10% CD) дефекта заданной высоты и ширины зависит от шага. Обратите внимание, что здесь даже шероховатость поверхности многослойного слоя может оказать заметное влияние.

Уменьшение дефектов масок для сильного ультрафиолета (EUV) в настоящее время является одной из наиболее важных проблем, которые необходимо решить при коммерциализации EUV-литографии. [265] Дефекты могут быть скрыты под или внутри многослойной стопки [266] или находиться наверху многослойной стопки. На распыляемых мишенях, используемых для многослойного осаждения, образуются мезы или выступы, которые могут выпадать в виде частиц во время многослойного осаждения. [267] Фактически, дефекты атомной высоты (0,3–0,5 нм) с полушириной 100 нм все еще можно печатать, демонстрируя 10%-ное влияние CD. [268] IBM и Toppan сообщили на Photomask Japan 2015, что более мелкие дефекты, например, размером 50 нм, могут иметь 10%-ное влияние CD даже при высоте 0,6 нм, но остаются необнаружимыми. [269]

Кроме того, край фазового дефекта будет дополнительно снижать отражательную способность более чем на 10%, если его отклонение от плоскостности превышает 3 градуса, из-за отклонения от заданного угла падения на 84 градуса по отношению к поверхности. Даже если высота дефекта невелика, край все равно деформирует вышележащий многослойный слой, создавая протяженную область, где многослойный слой имеет наклон. Чем резче деформация, тем уже протяженность края дефекта, тем больше потеря отражательной способности.

Ремонт дефектов маски EUV также более сложен из-за упомянутого выше изменения освещенности поперек щели. Из-за различной чувствительности затенения по всей щели высоту нанесения ремонтного материала необходимо контролировать очень тщательно, поскольку она различна в разных положениях осветительной щели маски EUV. [270]

Случайные изменения многослойной отражательной способности

GlobalFoundries и Lawrence Berkeley Labs провели исследование Монте-Карло для моделирования эффектов смешивания слоев молибдена (Mo) и кремния (Si) в многослойном слое, который используется для отражения EUV-света от EUV-маски. [271] Результаты показали высокую чувствительность к изменениям толщины слоя на атомном уровне. Такие изменения невозможно обнаружить с помощью измерений отражательной способности на широкой площади, но они будут значительными в масштабе критического размера (CD). [271] Локальное изменение отражательной способности может составлять порядка 10% при стандартном отклонении в несколько нм. [272]

Многослойный урон

Множественные импульсы EUV с интенсивностью менее 10 мДж/см 2 могут привести к повреждению многослойного зеркального оптического элемента Mo/Si с Ru-колпачком. [273] Угол падения составлял 16° или 0,28 рад, что находится в пределах углов для оптической системы с числовой апертурой 0,33.

Пелликулы

Производственным EUV-инструментам необходима пленка для защиты маски от загрязнения. Обычно предполагается, что частицы защитят маску от частиц во время транспортировки, входа в камеру экспонирования или выхода из нее, а также во время самого воздействия. Без пленок добавки частиц снижали бы выход продукции, что не было проблемой для традиционной оптической литографии со светом 193 нм и пелликулами. Однако для EUV целесообразность использования пленки серьезно затруднена из-за необходимой толщины защитных пленок для предотвращения чрезмерного поглощения EUV. Загрязнение частицами было бы непомерно высоким, если бы мощность пленок не была стабильной выше 200 Вт, т. е. целевой мощности для производства. [274]

Нагрев пленки EUV-маски ( температура пленки до 750 К при падающей мощности 80 Вт) представляет собой серьезную проблему из-за возникающей в результате деформации и снижения пропускания. [275] ASML разработала мембрану из поликремниевой пленки толщиной 70 нм, которая обеспечивает пропускание EUV 82%; однако менее половины мембран выдержали ожидаемые уровни мощности EUV. [276] Мембраны из пелликулы SiNx также вышли из строя при мощности источника EUV, эквивалентной 82 Вт. [277] Ожидается, что при целевых уровнях мощности 250 Вт температура пленки достигнет 686 градусов Цельсия, [278] что значительно превышает температуру плавления алюминия. Альтернативные материалы должны обеспечивать достаточную передачу, а также сохранять механическую и термическую стабильность. Однако графит, графен или другие углеродные наноматериалы (нанолисты, нанотрубки) повреждаются EUV из-за высвобождения электронов [279] , а также слишком легко травятся в плазме водородной очистки, которая, как ожидается, будет использоваться в EUV-сканерах. [280] Водородная плазма также может травить кремний. [281] [282] Покрытие помогает улучшить устойчивость к водороду, но это снижает пропускание и/или излучательную способность, а также может повлиять на механическую стабильность (например, вздутие). [283]

Морщины на пленках могут вызвать неоднородность CD из-за неравномерного поглощения; ситуация хуже при более мелких морщинах и более последовательном освещении, т. е. при более низком заполнении зрачка. [284]

При отсутствии пленок чистоту EUV-маски необходимо будет проверять до того, как будут показаны реальные пластины продукта, используя пластины, специально подготовленные для проверки дефектов. [285] Эти пластины проверяются после печати на наличие повторяющихся дефектов, указывающих на загрязнение маски; если они обнаружены, маску необходимо очистить и обнажить еще один набор контрольных пластин, повторяя процедуру до тех пор, пока маска не станет чистой. Все затронутые пластины продукта должны быть переработаны.

TSMC сообщила, что начала ограниченное использование собственной пленки в 2019 году и впоследствии продолжила расширяться, [286] а Samsung планирует внедрение пленки в 2022 году. [287]

Дефекты выпучивания водорода

Как обсуждалось выше, что касается удаления загрязнений, водород, используемый в последних системах EUV, может проникать в слои маски EUV. После захвата образовались выпуклые дефекты. [254] По сути, это пузырчатые дефекты, которые возникают после достаточного количества воздействий маски EUV в водородной среде.

Ограничения масштабирования пропускной способности

Стохастические проблемы EUV

Дробовой шум , вызывающий значительные изменения CD

EUV-литография особенно чувствительна к стохастическим эффектам. [288] [289] Несмотря на то, что подавляющее большинство объектов напечатано с помощью EUV, некоторые из них полностью не печатаются, например, отсутствуют отверстия или перемычки. Известный значительный вклад в этот эффект вносит доза, используемая при печати. [290] Это связано с дробовым шумом , который будет обсуждаться ниже. Из-за стохастических изменений количества прибывающих фотонов некоторые области, предназначенные для печати, фактически не достигают порога печати, оставляя необнаруженными области дефектов. Нижняя часть слоя резиста более подвержена недоэкспонированию из-за поглощения в верхней части. [291] Некоторые области могут быть переэкспонированы, что приводит к чрезмерной потере резиста или сшиванию. Вероятность стохастического отказа увеличивается экспоненциально по мере уменьшения размера объекта, и для того же размера объекта увеличение расстояния между объектами также значительно увеличивает вероятность. [290] [292] Деформированные обрезки линий представляют собой серьезную проблему из-за возможного образования дуги и короткого замыкания. [293] Доходность требует обнаружения стохастических сбоев вплоть до уровня ниже 1e-12. [290]

Склонность к стохастическим дефектам усиливается, когда изображение состоит из фотонов разных паттернов, например, от паттерна большой площади [294] или от расфокусировки из-за большого заполнения зрачка. [295] [296]

Стохастические дефекты имеют тенденцию возникать там, где наблюдается стохастическое изменение поглощенной дозы вблизи пороговой дозы. На это указывает то место, где обычно собираются синие пятнышки.

Для одной и той же совокупности могут существовать несколько режимов отказа. Например, помимо перекрытия траншей могут быть нарушены линии, разделяющие траншеи. [290] Это можно объяснить стохастической потерей резиста, [288] из-за вторичных электронов. [208] [297] Случайность числа вторичных электронов сама по себе является источником стохастического поведения в изображениях резиста EUV. [214]

Некоторые шаблоны более уязвимы к стохастическим дефектам из-за защемления между углами, [298] боковых лепестков, [299] или зазора между пятнами. [300] [301]

Сосуществование стохастически недоэкспонированных и переэкспонированных областей дефектов приводит к потере дозового окна на определенном уровне дефектов после травления между обрывами формирования рисунка при низкой и высокой дозе. [302] [303] [304] [305] Таким образом, теряется преимущество разрешения, обусловленное более короткой длиной волны.

Подложка резиста также играет важную роль. [290] Это могло быть связано с вторичными электронами, генерируемыми подслоем. [204] Вторичные электроны могут удалить более 10 нм резиста с открытого края. [208] [306]

Уровень дефектности составляет порядка 1К/мм 2 . [307] В 2020 году компания Samsung сообщила, что в 5-нм техпроцессе существует риск возникновения технологических дефектов, и начала внедрять автоматическую проверку и исправление. [308]

Фотонный дробовой шум может быть связан со стохастическим дефектом благодаря наличию дозозависимого размытия (моделируемого по Гауссу). [309] [310]

Фотонный дробовой шум также приводит к стохастической ошибке размещения границ [311] , [312] [313] , которая может превышать 1 нм. [314] Ошибка может превышать 2 нм при учете разрыва между двумя точечными функциями рассеяния. [315]

На конечное положение линии влияет дробовой шум. Естественное изменение числа фотонов EUV может привести к смещению положения конца линии.

Шум фотонного выстрела в некоторой степени удаляется за счет факторов размытия, таких как вторичные электроны или кислоты в химически усиленных резистах, но когда оно слишком велико, размытие также снижает контраст изображения по краям. [316] Поскольку размытие меньше размера объекта, общая замкнутая площадь объекта все равно может колебаться. [317] Для EUV это хуже, чем для длин волн DUV из-за более низкой плотности фотонов при обычно используемых дозах, а также из-за меньших размеров элементов, представленных меньшими пикселями. [318]

Даже если принять во внимание размытие, окончательное распределение химических веществ по-прежнему остается стохастическим. Более высокая доза помогает уменьшить стохастичность, но приводит к большему размытию, что может расширить степень стохастических колебаний. [319]

Другой подход к решению стохастических проблем заключается в использовании направленного травления для сглаживания краев боковин. [320]

Разрешение EUV-литографии в будущем сталкивается с проблемами поддержания производительности, то есть количества пластин, обрабатываемых инструментом EUV в день. Эти проблемы возникают из-за меньших полей, дополнительных зеркал и дробового шума. Чтобы поддерживать пропускную способность, мощность в промежуточном фокусе (ПЧ) должна постоянно увеличиваться.

При естественном распределении Пуассона , обусловленном случайным временем прибытия и поглощения фотонов, [321] [322] существует ожидаемое естественное изменение дозы (числа фотонов), составляющее по меньшей мере несколько процентов 3 сигма, что делает процесс облучения восприимчивым к стохастическим изменениям. . Изменение дозы приводит к изменению положения края элемента, фактически превращаясь в компонент размытия. В отличие от жесткого ограничения разрешения, налагаемого дифракцией, дробовой шум накладывает более мягкое ограничение, при этом основным ориентиром является спецификация шероховатости ширины линии ITRS (LWR), равная 8% (3 с) от ширины линии. [323] Увеличение дозы уменьшит дробовой шум, [324] но это также требует более высокой мощности источника.

Область вспомогательного элемента шириной 10 нм и длиной 10 нм при целевой непечатающей дозе 15 мДж/см 2 и поглощении 10 % определяется чуть более чем 100 фотонами, что приводит к 6-секундному шуму 59 %, что соответствует до стохастического диапазона доз от 6 до 24 мДж/см 2 , что может повлиять на качество печати.

Исследование Intel, проведенное в 2017 году, показало, что для полуизолированных переходных отверстий (диск Эйри которых можно аппроксимировать гауссианой) чувствительность CD к дозе была особенно сильной, [325] настолько сильной, что снижение дозы могло нелинейно привести к невозможности распечатайте переход.

Две проблемы дробового шума и электронов, выпущенных EUV, указывают на два сдерживающих фактора: 1) поддержание достаточно высокой дозы, чтобы снизить дробовой шум до допустимого уровня, а также 2) избегание слишком высоких доз из-за увеличения вклада фотоэлектронов, выпущенных EUV. и вторичные электроны в процессе экспонирования резиста, увеличивая размытие краев и тем самым ограничивая разрешение. Помимо влияния на разрешение, более высокая доза также увеличивает выделение газа [326] и ограничивает пропускную способность, а сшивка [327] происходит при очень высоких уровнях дозы. Для химически усиленных резистов воздействие более высокой дозы также увеличивает шероховатость края линии из-за разложения генератора кислоты. [328]

Даже при более высоком поглощении при той же дозе EUV вызывает большее беспокойство по поводу дробового шума, чем длина волны ArF (193 нм), главным образом потому, что он применяется к более тонким резистам. [291]

Как видно выше, при целевых уровнях падающей дозы в EUV-резистах поглощается значительно меньше фотонов EUV по сравнению с фотонами ArF в резистах ArF. Несмотря на большую прозрачность резиста, поток падающих фотонов примерно в 14 раз больше (193/13,5) при той же дозе энергии на единицу площади. Квантовый выход делает отклик резиста сравнимым с резистами с химическим усилением как для длин волн ArF, так и для EUV, но резисты ArF также допускают большее размытие, обеспечивая дальнейшее сглаживание. [329] Толщина резиста ограничена соображениями прозрачности, а также соображениями схлопывания резиста [334] и полосы резиста [335] .

Из стохастических соображений в дорожной карте литографии IRDS 2022 теперь признается увеличение доз для элементов меньшего размера. [336] [337] Однако даже увеличение дозы в 4 раза может быть недостаточным для устранения стохастических дефектов, в то же время ухудшая производительность. [301]

Разрешение EUV, вероятно, будет нарушено из-за стохастических эффектов. На меньшие шаги будут более негативно влиять шум фотонного выстрела и размытие, причем дефекты уже заметны на длине волны 30 нм. [338] [339] ASML указал, что шаг 30 нм будет использовать не прямое воздействие, а двойное нанесение рисунка. [340] Intel не использовала EUV для шага 30 нм. [341]

Текущая плотность стохастических дефектов превысила 1/см 2 при шаге 36 нм; ситуация ухудшается для меньших полей и означает, что воздействие EUV должно включать только достаточно большие поля. [342] [343] [344]

Коэффициент заполнения зрачков

По мере уменьшения шага можно использовать меньшую часть зрачка (меньший угол освещения).

Для шагов, меньших половины длины волны, разделенной на числовую апертуру, необходимо дипольное освещение. Это освещение заполняет максимум область в форме листа на краю зрачка. Однако из-за 3D-эффектов в EUV-маске [345] меньшие шаги требуют еще меньших частей этой формы листа. Ниже 20% зрачка начинают страдать пропускная способность и стабильность дозы. [57] Более высокая числовая апертура позволяет использовать более высокую степень заполнения зрачка для того же шага, но глубина резкости значительно уменьшается. [346]

Использование с несколькими узорами

Ожидается, что EUV будет использовать двойной рисунок с шагом около 34 нм и числовой апертурой 0,33. [347] [348] Это разрешение эквивалентно «1Y» для DRAM. [349] [350] В 2020 году ASML сообщил, что слой M0 толщиной 5 нм (минимальный шаг 30 нм) требует двойного рисунка. [340] Во втором полугодии 2018 года компания TSMC подтвердила, что в ее 5-нм схеме EUV по-прежнему используется многошаблонирование , [351] также указано, что количество масок не уменьшилось с 7-нм узла, в котором использовалось обширное многошаблонирование DUV, до 5-нм узла. node, в котором использовалось обширное EUV. [352] Поставщики EDA также указали на продолжающееся использование потоков с несколькими шаблонами. [353] [354] В то время как компания Samsung представила свой собственный 7-нм техпроцесс с единым узором EUV, [355] она столкнулась с сильным фотонным шумом, вызывающим чрезмерную шероховатость линий, что потребовало более высокой дозы, что привело к снижению производительности. [321] 5-нм узел TSMC использует еще более строгие правила проектирования. [356] Компания Samsung отметила, что меньшие размеры будут иметь более сильный дробовой шум. [321]

При межцентровом расстоянии 38 нм или меньше инструмент EUV с числовой апертурой 0,33 потребует двойного или даже тройного рисунка для контактного или переходного слоя.

В схеме дополнительной литографии Intel с полушагом 20 нм EUV будет использоваться только во второй экспозиции для резки линий после первой экспозиции для линейной печати 193 нм. [357]

Также можно ожидать многократной экспозиции, когда два или более узоров в одном слое, например, с разным шагом или шириной, должны использовать разные оптимизированные формы исходного зрачка. [358] [359] [360] [361] Например, при рассмотрении шахматной стержневой решетки с вертикальным шагом 64 нм изменение горизонтального шага с 64 нм на 90 нм значительно меняет оптимизированное освещение. [58] Оптимизация маски источника, основанная только на решетках в линейном пространстве и решетках между кончиками, не влечет за собой улучшения для всех частей логической структуры, например, плотной траншеи с зазором на одной стороне. [358] [362]

В 2020 году ASML сообщил, что для узла размером 3 нм расстояние между контактами/переходными отверстиями между центрами 40 нм или меньше потребует двойного или тройного рисунка для некоторых схем расположения контактов/переходных отверстий. [363]

Было обнаружено, что для шага металла 24–36 нм использование EUV в качестве (второго) воздействия резки имело значительно более широкое технологическое окно, чем полное однократное воздействие на металлический слой. [364] [358]

Также ожидается многократное воздействие одной и той же маски для устранения дефектов без пленок, что ограничивает производительность так же, как и при нанесении нескольких рисунков. [285]

Самовыравнивающееся лито-литотравление (SALELE) представляет собой гибридный метод SADP/LELE, реализация которого началась с 7 нм [365] и продолжает использоваться с 5 нм. [366] Самовыравнивающееся литотравление-литотравление (SALELE) стало общепринятой формой двойного рисунка для использования с EUV, начиная с узла 5 нм, где шаг некоторых слоев составляет 28 нм. [366]

Расширение с одним шаблоном: анаморфотный с высокой числовой апертурой

Боковые лепестки представляют больший риск при стохастических изменениях на определенном расстоянии между центрами. Этот риск повышен из-за центрального затемнения в системах EUV с высокой числовой апертурой.

Возврат к расширенным поколениям одиночных шаблонов будет возможен с помощью инструментов с более высокой числовой апертурой (NA). NA 0,45 может потребовать перенастройки на несколько процентов. [367] Увеличение уменьшения увеличения могло бы избежать этой перенастройки, но уменьшенный размер поля серьезно влияет на большие структуры (один кристалл на поле размером 26 × 33 мм), такие как многоядерные многомиллиардные транзисторы 14-нм чипы Xeon. [368] требуя сшивания двух экспозиций маски. [369]

Затемнение центрального порядка дифракции запрещает определенные комбинации минимального шага с большим шагом (красный).

В 2015 году ASML раскрыла подробности своего анаморфотного EUV-сканера следующего поколения с числовой апертурой 0,55. Уменьшение увеличивается с 4× до 8× только в одном направлении (в плоскости падения). [370] Однако 0,55 NA имеет гораздо меньшую глубину резкости, чем иммерсионная литография. [371] Кроме того, было обнаружено, что анаморфный инструмент с числовой апертурой 0,52 демонстрирует слишком большую CD и изменчивость размещения для однократной экспозиции узла 5 нм и резки с несколькими узорами. [372]

Глубина фокуса [373] , уменьшающаяся за счет увеличения числовой апертуры, также вызывает беспокойство, [374] [369], особенно по сравнению с экспонированием с несколькими узорами с использованием иммерсионной литографии 193 нм:

Инструменты EUV с высокой числовой апертурой также страдают от затемнения, что может привести к ошибкам в отображении определенных закономерностей. [369] [375] [376] [377] [378] [379] [380] В частности, пики и впадины боковых лепестков могут печататься стохастически. [381]

Первые инструменты с высокой числовой апертурой ожидаются в Intel не раньше 2025 года. [369] [382]

Ожидается, что из-за комбинированного воздействия затемнения и вращения поперечных щелей пропускная способность будет ограничена узлами размером 1,x нм. [383]

Что касается узлов менее 2 нм, системы EUV с высокой числовой апертурой будут подвержены множеству проблем: пропускная способность, новые маски, поляризация, более тонкие резисты, а также размытие вторичных электронов и случайность. [384] Уменьшенная глубина фокуса требует толщины резиста менее 30 нм, что, в свою очередь, увеличивает стохастические эффекты из-за уменьшения поглощения фотонов. [385]

Ориентируясь на шаг менее 20 нм, системы EUV с высокой числовой апертурой не так подходят (по сравнению с системами с низкой апертурой) для больших шагов, когда заполнение зрачка для достаточной глубины резкости составляет менее 20%. [386]

Электронное размытие оценивается как минимум в ~2 нм, чего достаточно, чтобы свести на нет преимущества EUV-литографии с высокой числовой апертурой. [339] [387] [388] [389]

За пределами длины волны EUV

Гораздо более короткая длина волны (~ 6,7 нм) выходит за пределы EUV, и ее часто называют BEUV (за пределами крайнего ультрафиолета). [390] При нынешних технологиях длины волн BEUV будут иметь худшие эффекты дробового шума без обеспечения достаточной дозы. [391]

Рекомендации

  1. ^ О'Салливан, Джерри; Ли, Боуэн; Д'Арси, Ребекка; Данн, Падрейг; Хайден, Пэдди; Килбейн, Дейдра; МакКормак, Том; Охаси, Хаято; О'Рейли, Фергал; Шеридан, Пол; Сокелл, Эмма; Сузуки, Тихиро; Хигасигучи, Такеши (2015). «Спектроскопия высокозаряженных ионов и ее значение для разработки источников EUV и мягкого рентгеновского излучения». Журнал физики B: атомная, молекулярная и оптическая физика . 48 (144025): 144025. Бибкод : 2015JPhB...48n4025O. дои : 10.1088/0953-4075/48/14/144025. S2CID  124221931.
  2. ^ Г. Йип и др., 36,7, IEDM 2019.
  3. ^ О. Адан и К. Хаученс, Proc. ШПИЕ 10959, 1095904 (2019).
  4. ^ JC Лю и др., IEDM 2020.
  5. ^ С.Ю. Ву и др., IEDM 2016.
  6. Шор, Дэвид (19 октября 2019 г.). «Обновление Samsung 5 нм и 4 нм».
  7. ^ Бьёркхольм, Дж.; Бокор, Дж.; Эйхнер, Л.; Фриман, Р.; Мэнсфилд, В.; Сзето, Л.; Тейлор, Д.; Теннант, Д.; Вуд II, О.; Джуэлл, Т.; Уайт, Д.; Васкевич, В.; Виндт, Д.; Макдауэлл, А. (1991). «Мягкая рентгеновская проекционная литография». Новости оптики и фотоники . 2 (5): 27. дои :10.1364/ОПН.2.5.000027.
  8. ^ «Создание EUV: от лаборатории к невероятному» .
  9. ^ Бакши, Вивек, изд. (2018). ЭУФ-литография . SPIE PM (Второе изд.). Беллингем, Вашингтон, США: SPIE Press. ISBN 978-1-5106-1679-0.
  10. ^ «США дают одобрение ASML на усилия EUV» . ЭЭ Таймс . 24 февраля 1999 года . Проверено 17 мая 2023 г.
  11. ^ «Наша история — снабжение полупроводниковой промышленности».
  12. ^ «Внутри машины, спасшей закон Мура» .
  13. ^ Саша Мигура (2018). «Оптика для EUV-литографии» (PDF) . euvlitho.com . Проверено 17 мая 2023 г.
  14. ^ "Узкое место для чипов TheWire China" . 8 февраля 2021 г.
  15. ^ «Обзор метрологии маски EUV» (PDF) . Архивировано из оригинала (PDF) 2 июня 2017 г. Проверено 23 июня 2019 г.
  16. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf .
  17. ^ Монкальм, К. (10 марта 1998 г.). Многослойные отражающие покрытия для литографии в сильном ультрафиолете . 23. Ежегодный международный симпозиум SPIE по микролитографии, Санта-Клара, Калифорния (США), 22-27 февраля 1998 г. OSTI  310916.
  18. ^ «Следующий выпуск EUV: 3D-эффекты маски» . 25 апреля 2018 г.
  19. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf .
  20. ^ Кроум, Торстен; Шмидт, Йонас; Несладек, Павел (2018). «Целостность закрывающего слоя EUV». В Такехиса, Киваму (ред.). Photomask Japan 2018: XXV Симпозиум по фотомаскам и технологиям литографических масок нового поколения . п. 8. дои : 10.1117/12.2324670. ISBN 978-1-5106-2201-2. S2CID  139227547.
  21. ^ «Оптимизированные закрывающие слои для многослоев EUV» .
  22. ^ "Полупроводниковая техника - пивоварение пустой битвы с маской EUV" . 15 ноября 2018 г.
  23. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf .
  24. ^ Мацумото, Хироши; Ямагучи, Кейсуке; Кимура, Хаято; Накаямада, Нориаки (23 августа 2021 г.). «Многолучевой писатель маски МБМ-2000». В Андо, Акихико (ред.). Photomask Japan 2021: XXVII Симпозиум по фотомаскам и технологии литографических масок нового поколения . Том. 11908. ШПИОН. стр. 175–180. дои : 10.1117/12.2604378. ISBN 9781510646858. S2CID  233503067 – через www.spiedigitallibrary.org.
  25. ^ Вайблингер, М.; Корнилов, К.; Хофманн, Т.; Эдингер, К. (15 мая 2010 г.). «Ремонт фотомаски EUV, вызванный электронным лучом: идеальное сочетание». В Берингере, Уве Ф.В.; Маурер, Вильгельм (ред.). 26-я Европейская конференция по маскам и литографии . Том. 7545. ШПИОН. стр. 243–250. дои : 10.1117/12.863542. S2CID  137488152 – через www.spiedigitallibrary.org.
  26. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf .
  27. ^ EUV-литография. СПАЙ Пресс. 2009. ISBN 978-0-8194-6964-9.
  28. ^ Справочник по технологии изготовления фотомасок. ЦРК Пресс. 3 октября 2018 г. ISBN 978-1-4200-2878-2.
  29. ^ Томи, Тошихиса (21 мая 2012 г.). «Оловянная лазерная плазма как источник света для крупносерийного производства литографии в крайнем ультрафиолете: история, идеальная плазма, современное состояние и перспективы». Журнал микро/нанолитографии, MEMS и MOEMS . 11 (2): 021109–1. дои : 10.1117/1.JMM.11.2.021109 . ISSN  1932-5150.
  30. ^ Элг, Дэниел Т.; Спорре, Джон Р.; Паничи, Джанлука А.; Шривастава, Шайлендра Н.; Ружич, Дэвид Н. (2016). «Очистка коллектора на месте и восстановление отражательной способности экстремального ультрафиолета с помощью водородной плазмы для источников экстремального ультрафиолета» (PDF) . Журнал вакуумной науки и технологий А. 34 (21305): 021305. Бибкод : 2016JVSTA..34b1305E. дои : 10.1116/1.4942456.
  31. ^ Босгра, Йерун; Зутаут, Эрвин; ван дер Эрден, Ad MJ; Верховен, Ян; ван де Круйс, Робберт В.Е.; Якшин Андрей Евгеньевич; Бийкерк, Фред (2012). «Структурные свойства слоев Y субнанометровой толщины в многослойных зеркалах крайнего ультрафиолета». Прикладная оптика . 51 (36): 8541–8548. Бибкод : 2012ApOpt..51.8541B. дои : 10.1364/AO.51.008541. ПМИД  23262592.
  32. ^ Х. Комори и др. , учеб. SPIE 5374, стр. 839–846 (2004).
  33. ^ БАМ Ханссон и др. , учеб. SPIE 4688, стр. 102–109 (2002).
  34. ^ С. Н. Шривастава и др. , J. Appl. Физ. 102, 023301 (2007).
  35. Ссылки _ www.laserfocusworld.com . 26 февраля 2009 г.
  36. ^ «HS Ким, Будущее устройств памяти и EUV-литографии, Симпозиум EUV 2009» (PDF) . Архивировано из оригинала (PDF) 10 июля 2015 г. Проверено 25 октября 2012 г.
  37. ^ Х. Мидзогучи, «Обновление гигафотонного источника света EUVL, произведенного лазером», EUVL Source Workshop, 12 мая 2008 г.
  38. ^ "Файлы cookie на ed.nl | ed.nl" .
  39. ^ Годовой отчет ASML за 2020 год, стр. 68.
  40. ^ «Гигафотон» (PDF) . Проверено 17 мая 2023 г.
  41. ^ «Cymer SPIE 2018» (PDF) .
  42. ^ «Обновление семинара EUVL Zeiss 2018» (PDF) .
  43. ^ "Документ SPIE 2007" (PDF) . Архивировано из оригинала (PDF) 12 августа 2017 г. Проверено 28 июля 2018 г.
  44. ^ «ASML, Семинар EUVL 2016, стр. 14» (PDF) .
  45. ^ Ю. Ван и Ю. Лю, Proc. ШПИЕ 9283, 928314 (2014).
  46. ^ abc «Р. Капелли и др., Proc. SPIE 9231, 923109 (2014)» (PDF) . Проверено 17 мая 2023 г.
  47. ^ «М. ван ден Бринк и др., Proc. SPIE 2726 (1996)» (PDF) . Архивировано из оригинала (PDF) 9 августа 2017 г. Проверено 17 июля 2018 г.
  48. ^ Шмеллер, Томас; Климпель, Т; Ким, я; Ф. Лоруссо, Дж; Майерс, А; Джонкхир, Рик; Гетальс, Анн-Мари; Ронсе, К. (14 марта 2008 г.). «Стратегии компенсации смещения схемы EUV - арт. № 69211B». Труды SPIE . 6921 . дои : 10.1117/12.772640. S2CID  121926142 – через ResearchGate.
  49. ^ А.Н. Броерс, IEEE Trans. Электр. Дев. 28, 1268 (1981).
  50. ^ Тао, Ю.; и другие. (2005). «Характеристика профиля плотности созданной лазером оловянной плазмы для источника крайнего ультрафиолета с длиной волны 13,5 нм». Прил. Физ. Летт . 86 (20): 201501. Бибкод : 2005ApPhL..86t1501T. дои : 10.1063/1.1931825.
  51. ^ "Последняя страница аннотации 107" . www.nifs.ac.jp. _
  52. ^ И. Фоменков и др., Адв. Опция Тех. 6, 173 (2017).
  53. ^ И.В. Фоменков, Труды. ШПИЕ 10957, 1095719 (2019).
  54. ^ ab «Факультет физики и астрономии Университета Рутгерса» (PDF) . www.физика.rutgers.edu . Архивировано из оригинала (PDF) 20 декабря 2016 г. Проверено 14 декабря 2016 г.
  55. ^ abc «Исследование выделения газов в EIDEC» (PDF) .
  56. ^ Р. Рокицкий и др. , учеб. ШПИЕ 7640, 76401Q (2010 г.).
  57. ^ abcd М. ван де Керкхоф и др., Proc. ШПИЕ 10143, 101430D (2017).
  58. ^ аб Ю. Чен и др., J.Vac. наук. Тех. Б35, 06Г601 (2017).
  59. ^ abcde «Х. Мидзогучи и др., Семинар EUV-FEL, 2017 г., стр. 4» (PDF) .
  60. ^ Определение разрешения сопротивления EUV в зависимости от пропускной способности
  61. ^ И. Сешадри и др., IEDM 2023.
  62. ^ «Оценка производства пластин EUV: 2019-2022 гг.» www.linkedin.com .
  63. ^ К. Смитс и др., Proc. SPIE 12494, 1249406 (2023).
  64. ^ Пэтцель, Р.; и другие. (2003). Йен, Энтони (ред.). «Эксимерные лазеры для сверхвысокой литографии с числовой апертурой 193 нм». Учеб. ШПИОН . Оптическая микролитография XVI. 5040 : 1665. Бибкод : 2003SPIE.5040.1665P. дои : 10.1117/12.485344. S2CID  18953813.
  65. ^ Харилал, СС; и другие. (2006). «Спектральный контроль излучения мишеней, легированных оловом, для литографии в крайнем ультрафиолете». Дж. Физ. Д. _ 39 (3): 484–487. Бибкод : 2006JPhD...39..484H. дои : 10.1088/0022-3727/39/3/010. S2CID  34621555.
  66. ^ Т. Асаяма и др. , учеб. SPIE том. 8683, 86831Г (2013).
  67. ^ «Обновление ASML, ноябрь 2013 г., Дублин» (PDF) .
  68. ^ Л. Питерс, «Двойной рисунок лидирует в гонке за 32 нм», Semiconductor International , 18 октября 2007 г.
  69. ^ М. Сугавара и др. , Дж. Вак. наук. Тех. Б 21, 2701 (2003).
  70. ^ "Что такое затенение в EUV-литографии?" – через www.youtube.com.
  71. ^ abc Юнфэй Дэн; Бруно М. Лафонтен; Гарри Дж. Левинсон; Эндрю Р. Нойройтер (2003). «Строгое ЭМ-моделирование влияния структуры шаблонов масок на изображение EUVL». В Роксане Л. Энгельстад (ред.). Новые литографические технологии VII . Том. 5037. дои : 10.1117/12.484986. S2CID  137035695.
  72. ^ Г. Макинтайр и др. , учеб. SPIE том. 7271, 72711С (2009).
  73. ^ Т. Ласт и др. , учеб. ШПИЕ 9985, 99850Вт (2016 г.).
  74. ^ ab T. Last et al. , учеб. SPIE том. 10143, 1014311 (2017).
  75. ^ В. Гао и др. , учеб. SPIE том. 10143, 101430И (2017).
  76. ^ «Поляризация путем отражения в системах EUV-литографии» - через www.youtube.com.
  77. ^ «Растущее значение поляризации в EUV-литографии». www.linkedin.com .
  78. ^ «Неопределенные фазовые сдвиги EUV-масок».
  79. ^ «Сдвиги узора, вызванные масками EUV с дипольной подсветкой» . www.linkedin.com .
  80. ^ М. Буркхардт и др., Proc. ШПИЕ 10957, 1095710 (2019).
  81. ^ А. Эрдманн, П. Эваншицкий, Т. Фюрер, Proc. ШПИОН 7271, 72711E (2009 г.).
  82. ^ «Модель двойной дифракции EUV-масок» - через www.youtube.com.
  83. ^ «Двойная дифракция в масках EUV: взгляд сквозь иллюзию симметрии» . www.linkedin.com .
  84. ^ «Требования к плоскостности маски EUV» (PDF) . Архивировано из оригинала (PDF) 26 июня 2015 г. Проверено 26 июня 2015 г.
  85. ^ Т. Шмеллер и др. , учеб. SPIE том. 6921, 69211Б (2008).
  86. ^ П. Лю и др. , учеб. SPIE том. 8679, 86790Вт (2013 г.).
  87. ^ аб М. Сугавара и др. , учеб. ШПИЕ 9048, 90480В (2014 г.).
  88. ^ ab X. Chen et al. , учеб. ШПИЕ 10143, 101431F (2017).
  89. ^ «ASML: Продукты — TWINSCAN NXE:3400B» . asml.com . Архивировано из оригинала 15 декабря 2018 г. Проверено 2 июля 2017 г.
  90. ^ ab X. Лю и др. , учеб. SPIE том. 9048, 90480Q (2014).
  91. ^ О. Вуд и др., Proc. SPIE 10450, 1045008 (2017).
  92. ^ С. Йошитаке и др., Требования к плоскостности маски EUV: точка зрения поставщика устройства записи масок электронного луча.
  93. ^ Ж.-Х. Франке и др., Proc. SPIE 11147, 111470E (2019).
  94. ^ «Сдвиг изображения, вызванный расфокусировкой, в EUV-литографии» - через www.youtube.com.
  95. ^ А. Щегров и др., Тр. ШПИЕ 11325, 113251П (2020).
  96. ^ Дж.Х. Франке и др., J. Micro/Nanopatterning, Materials and Metrology 21, 030501 (2022).
  97. ^ «Множественное воздействие монополя: правильный способ справиться с аберрациями в EUV-литографии?». www.linkedin.com .
  98. ^ HN Chapman и KA Nugent, Proc. SPIE 3767, 225 (1999).
  99. ^ Х. Комацуда, Proc. ШПИЕ 3997, 765 (2000).
  100. ^ Q. Mei et al., Proc. SPIE 8679, 867923 (2013).
  101. ^ Д. Хеллвег и др., Proc. ШПИЕ 7969, 79690H (2011).
  102. ^ К. Хукер и др., Proc. SPIE 10446, 1044604 (2017).
  103. ^ А. Гаретто и др., J. Micro/Nanolith. МЭМС МОЭМС 13, 043006 (2014).
  104. ^ аб ТС. Эом и др., Proc. ШПИЕ 8679, 86791J (2013).
  105. ^ Р. Капелли и др., Proc. ШПИОН 10957, 109570X (2019).
  106. ^ ab J. Fu et al., Proc. ШПИЕ 11323, 113232H (2020).
  107. ^ Миякава, Р.; Нолло, П. (13 мая 2019 г.). «Подготовка к следующему поколению EUV-литографии в Центре рентгеновской оптики». Новости синхротронного излучения . 32 (4): 15–21. Бибкод : 2019SRНовый..32...15М. дои : 10.1080/08940886.2019.1634432. OSTI  1582044. S2CID  202145457 — через escholarship.org.
  108. ^ С. Ку и др., Proc. SPIE 7969, 79691N (2011).
  109. ^ Заявка на патент США 20070030948.
  110. ^ «MF Bal et al., Appl. Opt. 42, 2301 (2003)» (PDF) .
  111. ^ Д. М. Уильямсон, Proc. SPIE 3482, 369 (1998).
  112. ^ ab "Carl Zeiss 2018" (PDF) .
  113. ^ ab М. Лим и др., Proc. ШПИЕ 10583, 105830X (2018).
  114. ^ А.В. Прет и др., Proc. ШПИЕ 10809, 108090А (2018).
  115. ^ Л. ван Лук и др., Proc. ШПИЕ 10809, 108090М (2018)
  116. ^ РХ. Ким и др., Proc. ШПИЕ 9776, 97761Р (2016).
  117. ^ abc Э. ван Сеттен и др., Proc. ШПИЕ 9661, 96610G (2015 г.).
  118. ^ TE Brist и GE Bailey, Proc. SPIE 5042, 153 (2003).
  119. ^ Г. Чжан и др., Proc. ШПИЕ 5040, 45 (2003).
  120. ^ «Соотношение разрешения и размера матрицы из-за вращения зрачка EUV» . www.linkedin.com .
  121. ^ ab «Затенение горизонтальных, вертикальных и наклонных линий через щель в системах EUV-литографии с низкой и высокой апертурой». www.linkedin.com .
  122. ^ К. Ли и др., J. Microlith/Nanolith. МЭМС МОЭМС 18, 040501 (2019).
  123. ^ К. А. Гольдберг и др., Proc. ШПИЕ 5900, 59000G (2005 г.).
  124. ^ Ю. Лю и Ю. Ли, Опт. англ. 55, 095108 (2016).
  125. ^ аб Р., Заатхоф (1 декабря 2018 г.). Адаптивная оптика для противодействия тепловым аберрациям: разработка системы для EUV-литографии с субнанометровой точностью (докторская диссертация). Технический университет Делфта. doi : 10.4233/uuid:1d71e3e8-88ce-4260-aeda-af0ee7675445 .
  126. ^ TS Jota и RA Chipman, Proc. ШПИЕ 9776, 977617 (2016).
  127. ^ abc «Директор Mentor Graphics подробно описывает проблемы контроля размещения кромок в 2020 году» . nikonereview.com .
  128. ^ М. Хабетс и др., Proc. ШПИЕ 9776, 97762D (2016).
  129. ^ М. Байрактар ​​и др., Опт. Эксп. 22, 30623 (2014).
  130. ^ «Неидеальное изображение в системах EUV-литографии» - через www.youtube.com.
  131. ^ Джордж, Сими А.; Ноло, Патрик; Рекава, Сенаджит; Гулликсон, Эрик; Кемп, Чарльз Д. (23 февраля 2009 г.). Шелленберг, Фрэнк М; Ла Фонтен, Бруно М. (ред.). «Определение характеристик внеполосного воздействия с помощью инструмента микрополевого воздействия SEMATECH Berkeley 0,3-NA». Журнал микро/нанолитографии, MEMS и MOEMS . Альтернативные литографические технологии. 7271 : 72710X. Бибкод : 2009SPIE.7271E..0XG. дои : 10.1117/12.814429. ОСТИ  960237. S2CID  55241073.
  132. ^ abcd «Измерение и характеристика характеристик маски EUV при высокой NA | EECS в Калифорнийском университете в Беркли». www2.eecs.berkeley.edu .
  133. ^ «Carl Zeiss SMT GMbH, Semicon Europa, 16 ноября 2018 г.» (PDF) . Проверено 17 мая 2023 г.
  134. ^ ab «Многослойная отражательная способность». henke.lbl.gov .
  135. ^ Ю. Накадзима и др., Proc. ШПАЙ 7379, 73790П (2009).
  136. ^ аб Н. Давыдова и др., Учеб. SPIE 8166, 816624 (2011).
  137. ^ GJ Stagaman et al., Proc. SPIE 2726, 146 (1996).
  138. ^ М.Ф. Равет и др., Proc. SPIE 5250, 99 (2004).
  139. ^ Ф. Шольце и др., Proc. SPIE 6151, 615137 (2006).
  140. ^ Якшин, А.Е.; Кожевников И.В.; Зутаут, Э.; Луи, Э.; Бийкерк, Ф. (2010). «[PDF] Свойства широкополосных многослойных зеркал с градуированной глубиной для оптических систем EUV. | Семантик». Оптика Экспресс . 18 (7): 6957–71. дои : 10.1364/OE.18.006957 . PMID  20389715. S2CID  16163302.
  141. ^ «Хроматическое размытие в EUV-литографии». www.linkedin.com .
  142. ^ «Очень разные длины волн EUV-литографии». www.linkedin.com .
  143. ^ М. Сугавара и др., J. Micro/Nanolith. МЭМС МОЭМС 2, 27–33 (2003).
  144. ^ «OPG | PDF больше недоступен» . opg.optica.org .
  145. ^ CS Чой и др. , учеб. ШПИЕ 9235, 92351Р (2014 г.).
  146. ^ Фундаментальные принципы оптической литографии Крис А. Мак, с. 37.
  147. ^ CA Мак, Микролит. Мир, 9–4, 25 (2000)
  148. ^ Дж. С. Петерсен и др., Proc. SPIE 3546, 288 (1998).
  149. ^ «Оптические и физические характеристики фазовых масок EUV» (PDF) . Архивировано из оригинала (PDF) 5 февраля 2017 г. Проверено 5 февраля 2017 г.
  150. ^ Набор тонких полутоновых масок с фазовым сдвигом для литографии в экстремальном ультрафиолете Инхван Ли, Сангсул Ли, Джэ Ук Ли, Чанг Ён Чжон2, Сонён Ку, Чханмун Лим и Джинхо Ан
  151. ^ Л. Юань и др., Proc. SPIE 8322, 832229 (2012).
  152. ^ аб Э. ван Сеттен и др., Intl. Симп. по ЭУФ-литографии, 2014.
  153. ^ VM Blanco Carballo et al., Proc. ШПИЕ 10143, 1014318 (2017).
  154. ^ Э. ван Сеттен и др., Proc. ШПИЕ 9231, 923108 (2014).
  155. ^ Ф. Цзян и др. , учеб. SPIE том. 9422, 94220У (2015).
  156. ^ abcd I. Mochi et al. , учеб. ШПИЕ 9776, 97761S (2015).
  157. ^ JG Garofalo et al., Proc. ШПИЕ 2440, 302 (1995).
  158. ^ «Понимание дробового шума EUV» .
  159. ^ Д. Сивай и др., Proc. ШПИЕ 9048, 90483D (2014 г.).
  160. ^ «Стохастическая печать вспомогательных функций субразрешения» . www.linkedin.com .
  161. ^ ДА. Дже и др. , учеб. ШПИОН 7823, 78230Z (2010 г.).
  162. ^ Т. Хуинь-Бао и др. , учеб. ШПИЕ 9781, 978102 (2016).
  163. ^ В. Филипсен и др., Proc. ШПИЕ 9235, 92350J (2014 г.).
  164. ^ ab W. Gillijns et al., Proc. ШПИЕ 10143, 1014314 (2017).
  165. ^ ab YG Wang et al., Proc. ШПИЕ 10143, 1014320 (2017).
  166. ^ Патент США 9715170.
  167. ^ С. Нагахара и др., Proc. ШПИОН 7640, 76401H (2010 г.).
  168. ^ Л. Панг и др., Proc. ШПИОН 7520, 75200X (2009 г.).
  169. ^ Сюй, Стивен Д.; Лю, Цзинцзин (1 января 2017 г.). «Проблемы анаморфной литографии с высокой NA и изготовления масок». Передовые оптические технологии . 6 (3–4): 293. Бибкод : 2017AdOT....6..293H. doi : 10.1515/aot-2017-0024. S2CID  67056068.
  170. ^ Чжан, Зинань; Ли, Сикун; Ван, Сянчжао; Ченг, Вэй; Ци, Юэцзин (2021). «Оптимизация исходной маски для литографии в крайнем ультрафиолете на основе модели толстой маски и алгоритма оптимизации роя частиц социального обучения». Оптика Экспресс . 29 (4): 5448–5465. Бибкод : 2021OExpr..29.5448Z. дои : 10.1364/OE.418242 . PMID  33726081. S2CID  232263498.
  171. ^ «Семинар IMEC EUVL 2018» (PDF) .
  172. ^ К. Краутщик и др., Proc. SPIE 4343, 392 (2001).
  173. ^ А. Эрдманн, П. Эваншицкий и Т. Фунер, Proc. ШПИОН 7271, 72711E (2009 г.).
  174. ^ А. Эрдманн и др., J. Micro/Nanolith. МЭМС МОЭМС 15, 021205 (2016).
  175. ^ М. Буркхардт и А. Рагунатан, Proc. ШПИОН 9422, 94220X (2015 г.).
  176. ^ З. Чжу и др., Proc. ШПИЕ 5037, 494 (2003)
  177. ^ В. Филипсен и др., Proc. ШПИЕ 10143, 1014310 (2017).
  178. ^ Нолло, Патрик П.; Раммелоо, Клеменс; Каин, Джейсон П.; Дин, Ким; Денхэм, Пол; Голдберг, Кеннет А.; Хоф, Брайан; Ла Фонтен, Бруно; Павлоски, Адам Р.; Ларсон, Карл; Воллраф, Грег (2006). Лерсель, Майкл Дж (ред.). «Исследование текущих пределов разрешения усовершенствованных устойчивых к экстремальному ультрафиолету (EUV)». Новые литографические технологии X . 6151 : 289. Бибкод : 2006SPIE.6151..289N. CiteSeerX 10.1.1.215.7131 . дои : 10.1117/12.657005. S2CID  97250792. 
  179. ^ А. Эрдманн и др., J. Micro/Nanolith. МЭМС МОЭМС 15(2), 021205 (2016).
  180. ^ «Необходимость заполнения зрачков в EUV-литографии» . www.linkedin.com .
  181. Чен, Фред (11 июня 2023 г.). «Необходимость заполнения зрачков в EUV-литографии».
  182. ^ Дж. Файндерс и др., Proc. ШПИЕ 9776, 97761П (2016).
  183. ^ Д. Рио и др., Proc. SPIE 10809, 108090N (2018 г.).
  184. ^ Ч. Чанг и др., Proc. SPIE 5377, 902 (2004).
  185. ^ Т. Девуавр и др., MTDT 2002.
  186. ^ LC Choo et al., Proc. SPIE том. 4000, 1193 (2000).
  187. ^ Дж. Уорд и К. Сакадзири, Proc. ШПИЕ 6156, 61561И (2006 г.).
  188. ^ Т. Винклер и др., Prod. SPIE 5754, 1169 (2004).
  189. ^ Ю. Бородовский и др., Учеб. ШПИЕ 4754, 1 (2002).
  190. ^ СС. Ю и др., Учеб. ШПИЕ 8679, 86791Л (2013).
  191. ^ ab А. Эрдманн и др., Proc. SPIE 10583, 1058312 (2018).
  192. ^ «Маски фазового сдвига для улучшения NILS - препятствие для EUV?». www.linkedin.com .
  193. ^ «Анализ собственных мод электромагнитных полей в масках EUV» (PDF) .
  194. ^ «Сверхвысокоэффективная маска фазового сдвига с травлением EUV» (PDF) .
  195. ^ Наулло, П., Андерсон, К.Н., Баклеан, Л.М., Чан, Д., Денхэм, П., Джордж, С., Голдберг, К.А., Хоф, Б., Джонс, Г., Кох, К. и Ла Фонтен, Б., 2010, март. SEMATECH Berkeley MET продвигает разработку EUV за пределы полушага 22 нм. В литографии в условиях крайнего ультрафиолета (EUV) (том 7636, стр. 530-538). ШПИОН.
  196. ^ ". Торок и др., "Вторичные электроны в EUV-литографии", J. Photopol. Sci. and Tech., 26, 625 (2013)".
  197. ^ К. Исии и Т. Мацуда, Jpn. Дж. Прил. Физ. 29, 2212 (1990).
  198. ^ А. Тете и др., Proc. ШПИЕ 9422, 94220А (2015 г.).
  199. ^ "Диссертация Б. Сана, стр. 34" (PDF) .
  200. ^ «С. Бхаттараи, Исследование шероховатости края линии и взаимодействия вторичных электронов в фоторезистах для EUV-литографии, 2017, стр. 100» (PDF) . Архивировано из оригинала (PDF) 21 октября 2017 г. Проверено 16 сентября 2018 г.
  201. ^ «О. Костко, Дж. Ма и П. Нолло (LBNL), «Измерение электронного размытия», Семинар EUVL 2019» (PDF) .
  202. ^ «Новые проблемные области EUV». 19 марта 2018 г.
  203. ^ «Международный семинар CNSE 2013 по EUV-литографии» (PDF) .
  204. ^ ab Н. Феликс и др., Proc. SPIE 9776, 97761O (2015).
  205. ^ Дж. Торок и др. , J. Photopolymer Sci. & Tech., 27, 611 (2014).
  206. ^ «Электроны низкой энергии устанавливают ограничения для EUV-литографии» . www.linkedin.com .
  207. ^ аб Ю. Кандель и др. , учеб. ШПИЕ 10143, 101430Б (2017).
  208. ^ abc А. Нарасимхан и др., Proc. ШПИЕ 9422, 942208 (2015).
  209. ^ DD Simone et al., Proc. ШПИЕ 10143, 101430Р (2017).
  210. ^ «Влияние расфокусировки на электронное размытие в EUV-литографии» - через www.youtube.com.
  211. ^ «Демонстрация дозозависимого распространения фотоэлектронов в сопротивлениях EUV». www.linkedin.com .
  212. ^ М. Котера и др., «Моделирование литографии в условиях крайнего ультрафиолета путем отслеживания траекторий фотоэлектронов в резисте», Jpn. Дж. Прил. Физ. 47, 4944 (2008).
  213. ^ ab «Функция расширения электронов в EUV-литографии». www.linkedin.com .
  214. ^ ab «Добавление случайной генерации вторичных электронов к шуму фотонного выстрела: усугубление стохастической шероховатости края EUV». www.linkedin.com .
  215. ^ М. И. Джейкобс и др., Phys. хим. хим. Физ. 19(20) (2017).
  216. ^ «Пары точек для измерения вторичного электронного размытия в EUV и резисторах электронного луча» . www.linkedin.com .
  217. ^ «Случайность вторичного электронного размытия как причина стохастических дефектов EUV». www.linkedin.com .
  218. ^ Стохастические дефекты EUV из-за размытия вторичных электронов, увеличивающиеся с дозой
  219. ^ П. Теофанис и др., Proc. ШПИЕ 11323, 113230I (2020).
  220. ^ Прогнозирование стохастической дефектности на основе модели устойчивого рассеяния электронов EUV от Intel
  221. ^ «Влияние электронного размытия на пленках, устойчивых к ультрафиолетовому излучению, из-за отражения интерфейса» . www.linkedin.com .
  222. ^ О. Ю и др., J. Elec. Спец. и Отл. Феномен. 241, 146824 (2020).
  223. ^ ab П. Де Шеппер и др., Proc. ШПИЕ 9425, 942507 (2015).
  224. ^ Размытие вторичных электронов увеличивается с дозой.
  225. ^ А. Нарасимхан и др., «Механизмы воздействия EUV: внутреннее возбуждение и электронное размытие», EUV Symposium 16.06.2016, стр.11.
  226. ^ А. Рагунатан и Дж. Г. Хартли, JVST B 31, 011605 (2013).
  227. ^ "Стохастическая долина смерти EUV" . www.linkedin.com .
  228. ^ Н. Мияхара и др., Proc. ШПИОН 12498, 124981E (2023)
  229. ^ Расфокусировка ухудшает стохастические EUV-изображения
  230. ^ abc А. Тете и др., Phys. Преподобный Летт. 266803 (2017).
  231. ^ Л. Вайсхарт и др., Proc. ШПИЕ 9776, 97762О (2016).
  232. ^ «Электрическое поле, плоские листы заряда». гиперфизика.phy-astr.gsu.edu .
  233. ^ М. Дапор, М. Чаппа и В. Фихтнер, J. Micro/Nanolith. МЭМС МОЭМС 9, 023001 (2010).
  234. ^ ab ZG Song et al., J. Phys. Д: Прил. Физ. 30, 1561 (1997).
  235. ^ Дж. Холленсхед и Л. Клебанофф, Дж. Вак. наук. & Техн. Б 24, стр. 118–130 (2006).
  236. ^ Г. Денбо и др. , 2007 г. Европейская конференция по маскам и литографии.
  237. ^ И. Поллентье и др. , учеб. SPIE том. 7972, 797208 (2011).
  238. ^ Г. Денбо, 2009 Международный. Мастер-класс по EUV-литографии.
  239. ^ JY Парк и др. , Дж. Вак. наук. Тех. Б29, 041602 (2011).
  240. ^ abcde Crijns, VMC (2014). «Очистка олова атомом водорода» (PDF) . Эйндховенский технологический университет .
  241. ^ ab Т. Ван де Вен и др., J. Appl. Физ. 123, 063301 (2018).
  242. ^ Компьютерное моделирование загрязнения и очистки оптики источника EUV RnD-ISAN/EUV Labs & ISTEQ BV
  243. ^ например, Денитридизация нитридов под водородом
  244. ^ "К.Г. ван де Валле и Б. Таттл, ТЕОРИЯ ВЗАИМОДЕЙСТВИЯ ВОДОРОДА С АМОРФНЫМ КРЕМНИЕМ в тонких пленках аморфного и гетерогенного кремния - Основы устройств", под редакцией Х.М. Бранца, Р.В. Коллинза, Х. Окамото, С. Гуха и Б. Шроппа. , Материалы симпозиума MRS, том 557 (MRS, Питтсбург, Пенсильвания, 1999), стр. 255 » (PDF) .
  245. ^ Т. Танабе, Ю. Яманиши и С. Имото, J. Nucl. Мат. 191–194, 439 (1992).
  246. ^ ab «Вздутие водорода в многослойных слоях EUV» - через www.youtube.com.
  247. ^ ab «DT Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016)» (PDF) .
  248. ^ «Вызванное водородом вздутие в многослойных тонких пленках» (PDF) .
  249. ^ ИИ. Джанг и др., Proc. ШПИЕ 9256, 92560И (2014 г.)
  250. ^ «Проникновение водорода в Ru и Pd/Ru» (PDF) .
  251. ^ Пантизано, Л; Шрам, Том; Ли, З; Лисони, Юдит; Пуртуа, Джеффри; Де Гендт, Стефан; П. Бранко, Д; Ахеяр, А; Афанасьев В.В.; Шамулия, Шерон; Стесманс, А. (12 июня 2006 г.). «Рутениевые затворные электроды на SiO2 и HfO2: чувствительность к водороду и кислородной среде». Письма по прикладной физике . 88 (24): 243514. Бибкод : 2006ApPhL..88x3514P. doi : 10.1063/1.2212288 – через ResearchGate.
  252. ^ «Проникновение водорода в карбид бора».
  253. ^ М. Майер, М. Балден и Р. Бериш, J. Nucl. Мат. 252, 55 (1998).
  254. ^ аб СС. Ким и др., Proc. ШПИЕ 10143, 1014306 (2017).
  255. ^ «Проверка защитных слоев, устойчивых к окислению» (PDF) .
  256. ^ Б. Теджойсворо и др. , Дж. Вак. наук. Тех. А 30, 031303 (2012).
  257. ^ «Водородная плазма для снятия фоторезиста» (PDF) .
  258. ^ «Сопротивление дегазации оксидов металлов» (PDF) .
  259. ^ Теджойсворо, Баю; Чунг, Дэвид; Крист, Винс (2013). «Сравнение влияния последующей плазмы на основе H2 и O2 на удаление фоторезиста, кремния и нитрида кремния». Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 31 (2): 021206. Бибкод : 2013JVSTB..31b1206T. дои : 10.1116/1.4792254 . ISSN  2166-2746.
  260. ^ Дж. Беккерс и др., Appl. наук. 9,2827 (2019).
  261. ^ П. Де Шеппер и др., J. Micro/Nanolith. МЭМС МОЭМС 13, 023006 (2014).
  262. ^ АБ ES. Choe et al., Adv. Матер. Интерфейсы 2023, 2300867.
  263. ^ П. Де Шеппер и др., Proc. ШПИЕ 9428, 94280C (2015).
  264. ^ Воздействия, не относящиеся к EUV, в системах EUV-литографии обеспечивают основу для стохастических дефектов в EUV-литографии.
  265. ^ «Ознакомление с требованиями дорожной карты для литографии в экстремальном УФ-излучении» . сайт шпиона .
  266. ^ «Методы быстрого моделирования неплоских фазовых и многослойных дефектов в фотомасках DUV и EUV для литографии». Беркли.edu .
  267. ^ Х. Ю и др. , Дж. Вак. наук. Тех. А31, 021403 (2013).
  268. ^ С. Ху и др. , учеб. ШПИОН 7271 (2009).
  269. ^ К. Секи и др. , учеб. ШПИЕ 9658, 96580G (2015 г.).
  270. ^ А. Гаретто и др. , Дж. Микро/Нанолит. МЭМС МОЭМС 13, 043006 (2014).
  271. ^ ab Y. Chen et al., Proc. ШПИЕ 10143, 101431S (2017).
  272. ^ Р. Джонкхир и Л.С. Мелвин III, Proc. ШПИЕ 11517, 1151710 (2020).
  273. ^ М. Мюллер и др., Appl. Физ. Том. 108, 263 (2012).
  274. ^ «Деятельность EUVL в Южной Корее (включая Samsung и SKHynix)» (PDF) .
  275. ^ ЕСТЬ. Ким и др. , учеб. SPIE том. 8322, 83222Х (2012 г.).
  276. ^ К. Зольдески и др. , учеб. SPIE том. 9048, 90481Н (2014).
  277. ^ DL Goldfarb, Информационный бюллетень BACUS, декабрь 2015 г.
  278. ^ «Пеллика EUV, время безотказной работы и проблемы с сопротивлением продолжаются» . 26 сентября 2018 г.
  279. ^ А. Гао и др. , J. Appl. Физ. 114, 044313 (2013).
  280. ^ Э. Галлахер и др. , учеб. SPIE том. 9635, 96350Х (2015).
  281. ^ К. Гика и др. , ПЗУ. Отчет по физ., вып. 62, 329–340 (2010).
  282. ^ Л. Хуан и др. , Подбородок. Физ. Б, т. 22, 105101 (2013).
  283. ^ И. Поллентье и др. , учеб. SPIE том. 10143, 101430Л (2017).
  284. ^ ЕСТЬ. Ким и др., Microel. англ. 177, 35 (2017).
  285. ^ ab HJ Levinson и TA Brunner, Proc. ШПИЕ 10809, 1080903 (2018).
  286. ^ «Обновление производства TSMC: N6 будет соответствовать объему производства N7 к концу года, N5 растет быстрее, урожайность выше, чем у N7» .
  287. ^ «Samsung разработает Pellicle, важный продукт EUV-технологии - ETNews» . 13 октября 2021 г.
  288. ^ ab П. Де Бисшоп, «Стохастические эффекты в EUV-литографии: случайная, локальная изменчивость компакт-диска и сбои печати», J. Micro/Nanolith. МЭМС МОЭМС 16(4), 041013 (2017).
  289. ^ Визуализация стохастики EUV для примера 14-нм DRAM
  290. ^ abcde П. Де Бисшоп и Э. Хендрикс, Proc. ШПИЕ 10583, 105831К (2018).
  291. ^ ab EUV Влияние сопротивления поглощению на стохастические дефекты
  292. ^ Комбинации CD-питча, не одобряемые стохастикой EUV
  293. ^ Стохастическая изменчивость EUV в разрезах линий
  294. ^ Энтропия распределения фотонов при высоком k1
  295. ^ Стохастическое влияние расфокусировки в EUV-литографии
  296. ^ Стохастическое влияние расфокусировки в EUV-литографии
  297. Фукуда, Хироши (23 февраля 2019 г.). «Локализованная и каскадная генерация вторичных электронов как причины стохастических дефектов в проекционной литографии в крайнем ультрафиолете». Журнал микро/нанолитографии, MEMS и MOEMS . 18 (1): 013503. Бибкод : 2019JMM&M..18a3503F. дои : 10.1117/1.JMM.18.1.013503 .
  298. ^ Горячие точки стохастических дефектов в EUV-литографии
  299. ^ Функции поддержки субразрешения и стохастическая печать боковых лепестков EUV
  300. ^ Литография для конкретного применения: через разделение на 5 нм и более
  301. ^ ab Могут ли более высокие дозы EUV помочь уменьшить стохастические эффекты?
  302. ^ Л. Мели и др., J. Micro/Nanolith. МЭМС МОЭМС 18, 011006 (2019).
  303. ^ Визуализация стохастической долины смерти EUV
  304. ^ Стохастическая дефектность против дозы EUV
  305. ^ Окно дозы EUV для шага 48 нм
  306. ^ «С. Бхаттараи, докторская диссертация, «Исследование шероховатости краев линий и взаимодействия вторичных электронов в фоторезистах для EUV-литографии», Калифорнийский университет в Беркли, 2017» (PDF) .
  307. ^ С. Ларивьер и др., Proc. ШПИЕ 10583, 105830У (2018 г.).
  308. ^ Дж. Ким и др., Proc. ШПИЕ 11328, 113280И (2020).
  309. ^ «От дробового шума к стохастическим дефектам: дозозависимое размытие по Гауссу в литографии». www.linkedin.com .
  310. ^ Моделирование стохастических дефектов EUV с помощью вторичного электронного размытия
  311. ^ Демонстрация стохастической ошибки размещения ребер (EPE)
  312. ^ Стохастическое происхождение шероховатости кромок элементов EUV
  313. ^ Стохастическое поведение краев формы в EUV-литографии
  314. ^ Стохастические эффекты от энтропии распределения фотонов в EUV-литографии с высоким k1
  315. ^ Стохастическое поведение функции рассеяния точки в EUV-литографии
  316. ^ Снижение контрастности и фотонный шум в EUV-литографии
  317. ^ Стохастическое изменение площади контакта EUV
  318. ^ DUV против шума фотонного выстрела EUV
  319. ^ Возвращаясь к EUV-литографии: стохастические распределения после размытия
  320. ^ Проблема работы с дозами EUV
  321. ^ abc HW Kim et al., Proc. ШПИЕ 7636, 76360Q (2010 г.).
  322. ^ СМ. Ким и др., Proc. ШПИЕ 9422, 94220М (2015 г.).
  323. ^ Б. Байлав, «Уменьшение шероховатости края линии (LER) в интерференционной литографии большого поля», докторская диссертация, стр. 37, 2014.
  324. ^ З.Ы. Пан и др., Proc. ШПИЕ 6924, 69241К (2008).
  325. ^ Р. Л. Бристоль и М. Е. Крысак, Proc. ШПИЕ 10143, 101430Z (2017).
  326. ^ «Nissan Chemical Industries, 2013 г., Международный семинар по EUV-литографии, 2013 г.» (PDF) .
  327. ^ Т.Г. Ояма и др. , прил. Физ. Эксп. 7, 036501 (2014).
  328. ^ Т. Козава, Япония. Дж. Прил. Физ. 51, 06FC01 (2012).
  329. ^ ab Разрешение, LER и ограничения чувствительности фоторезиста
  330. ^ «Азбука фоторезиста» (PDF) .
  331. ^ «ASML: Продукты — TWINSCAN NXT:1980Di» . www.asml.com . Архивировано из оригинала 5 декабря 2018 г. Проверено 21 января 2017 г.
  332. ^ ab «EUV-фоторезисты» (PDF) .
  333. ^ ab «Семинар EUVL ASML 2016» (PDF) .
  334. ^ «Новые решения для сопротивления» (PDF) . Архивировано из оригинала (PDF) 27 августа 2017 г. Проверено 14 июля 2017 г.
  335. ^ «Полоска резиста из оксида металла» (PDF) .[ постоянная мертвая ссылка ]
  336. ^ Куда направляются дозы EUV?
  337. ^ Дорожная карта литографии IRDS 2022
  338. ^ Шум и размытие фотонного выстрела EUV при шагах 50, 30 и 18 нм
  339. ^ ab Воздействие электронного размытия на электронный луч и EUV-литография
  340. ^ аб Р. Соча, Proc. ШПИЕ 11328, 113280В (2020).
  341. ^ Б. Селл и др., СБИС Tech. 2022]
  342. ^ YJ Choi и др., «Покрытие со стохастическим удалением дефектов для высокопроизводительной литографии в крайнем ультрафиолете», J. Vac. наук. Тех. Б 40, 042602 (2022).
  343. ^ Ю. Ли, К. Ву, Ю. Чжао, «Исследование типичных шаблонов правил проектирования и стохастических сбоев печати в 5-нм логическом процессе с использованием EUV-литографии», CSTIC 2020.
  344. ^ Предел однократного воздействия EUV из стохастической плотности дефектов
  345. ^ Дж.Х. Франке и др., Proc. ШПИЕ 11517, 1151716 (2020).
  346. ^ Компромисс цифровой апертуры EUV: глубина фокуса и заполнение зрачка
  347. ^ W. Gap et al., Proc. SPIE 10583, 105830O (2018).
  348. ^ Д. Де Симоне и др., Advanced Lithography 2019, 10957-21.
  349. ^ «Интеграция ячеек DRAM Samsung 18 нм: QPT и более унифицированные диэлектрики конденсаторов с высоким коэффициентом k» . techinsights.com .
  350. Роос, Джина (24 мая 2018 г.). «Цены на DRAM продолжают расти, а на NAND Flash ASP падают» .
  351. ^ «SemiWiki.com - 10 основных моментов форума экосистемы открытой инновационной платформы TSMC» . www.semiwiki.com . 7 августа 2023 г.
  352. ^ "DAC 2018 TSMC/Arm/Synopsys Breakfast". www.synopsys.com . Архивировано из оригинала 05 октября 2018 г. Проверено 5 октября 2018 г.
  353. ^ «Cadence получила сертификацию EDA для 5-нм и 7-нм+ технологий FinFET TSMC для облегчения создания мобильных устройств и проектов HPC» (пресс-релиз). Октябрь 2018.
  354. ^ «Платформы цифрового и индивидуального проектирования Synopsys, сертифицированные по 5-нм техпроцессу TSMC на основе EUV» . Проектирование и повторное использование .
  355. ^ "Завтрак Samsung/Synopsys на DAC 2018" . www.synopsys.com . Архивировано из оригинала 05 октября 2018 г. Проверено 5 октября 2018 г.
  356. ^ Мерритт, Рик. «TSMC переводит фотон в облако». ЭТаймс .
  357. ^ «Презентация Intel о дополнительной литографии на Международном семинаре по EUV-литографии 2012 года» (PDF) .
  358. ^ abc «EUV никогда не собирался быть единым узором». 5 февраля 2017 г.
  359. ^ С. Сюй и др., Proc. SPIE 4691, 476 (2002).
  360. ^ X. Лю и др., Proc. ШПИЕ 9048, 90480Q (2014 г.).
  361. ^ С.Ю. О и др., Proc. ШПИЕ 4691, 1537 (2002).
  362. ^ Д. Рио и др., Proc. SPIE 10809, 108090N (2018 г.).
  363. ^ В. Гао и др., Proc. ШПИЕ 11323, 113231L (2020).
  364. ^ РК Али и др., Proc. SPIE 10583, 1058321 (2018).
  365. ^ К. Лин, Proc. ШПИЕ 11327, 113270X (2020).
  366. ^ ab SALELE двойной шаблон для узлов 7 и 5 нм
  367. ^ Дж. Т. Нойманн и др. , учеб. SPIE 8522, 852211 (2012).
  368. ^ Чипы Intel Xeon E5-2600 V4 содержат безумные 7,2 миллиарда транзисторов на кристалле площадью 456 мм2,
  369. ^ abcd Меры предосторожности при использовании EUV с высокой NA
  370. ^ Дж. ван Шут и др. , учеб. ШПИЕ 9422, 94221F (2015).
  371. ^ Б. Дж. Лин, JM3 1, 7–12 (2002).
  372. ^ Э. Р. Хослер и др. , учеб. SPIE том. 9776, 977616 (2015).
  373. ^ ab BJ Lin, J. Microlith., Microfab., Microsyst. 1, 7–12 (2002).
  374. ^ Би Джей Лин, Microelec. англ. 143, 91–101 (2015).
  375. ^ Особенности затрудненной EUV-визуализации с высокой числовой апертурой
  376. ^ Б. Бильски и др., Proc. ШПИЕ 11177, 111770И (2019).
  377. ^ Стохастические риски боковых лепестков и компромиссы в EUV-системах с высокой числовой апертурой и затемнением центрального зрачка
  378. ^ Смолы, запрещенные центральным затемнением в EUV-литографии с высокой NA
  379. ^ Несовместимость высоты звука, вызванная затемнением, в EUV-литографии с высокой NA
  380. ^ Шаг 36 нм на EUV с высокой числовой апертурой: скрытая дифракция через щель
  381. ^ Печать стохастических пиков и впадин боковых лепестков в литографии HIgh NA EUV
  382. ^ Дорожная карта Intel
  383. ^ Высокие ограничения конструкции NA EUV для узлов 1 x нм
  384. ^ Проверка реальности EUV с высокой NA для узлов 1.x нм
  385. ^ Улучшенное стохастическое изображение в EUV-литографии с высокой NA
  386. ^ Когда высокая NA не лучше, чем низкая NA в EUV-литографии
  387. ^ Максимально допустимое электронное размытие в сравнении с высоким шагом NA EUV
  388. ^ LF Miguez et al., Proc. SPIE 12498, 124980E (2023).
  389. ^ Р. Фаллика и др., Proc. SPIE 12498, 124980J (2023).
  390. ^ «Презентация ASML на Международном семинаре по источникам экстремального ультрафиолета 2010» (PDF) .
  391. ^ Моджарад, Насир; Гобрехт, Йенс; Экинчи, Ясин (18 марта 2015 г.). «За пределами EUV-литографии: сравнительное исследование эффективности эффективных фоторезистов». Научные отчеты . 5 (1): 9235. Бибкод : 2015NatSR...5E9235M. дои : 10.1038/srep09235. ПМЦ 4363827 . ПМИД  25783209. 

дальнейшее чтение

Ссылки по теме