stringtranslate.com

Производство полупроводниковых приборов

Чистая комната Исследовательского центра Гленна НАСА

Производство полупроводниковых устройств — это процесс, используемый для производства полупроводниковых устройств , обычно интегральных схем (ИС), таких как компьютерные процессоры , микроконтроллеры и микросхемы памяти (такие как флэш-память NAND и DRAM ), которые присутствуют в повседневных электронных устройствах. Это многоэтапный фотолитографический и физико-химический процесс (с такими этапами, как термическое окисление , осаждение тонких пленок, ионная имплантация, травление), в ходе которого электронные схемы постепенно создаются на пластине , обычно изготовленной из чистого монокристаллического полупроводника . материал. Почти всегда используется кремний , но для специализированных применений используются различные соединения полупроводников .

Процесс изготовления осуществляется на узкоспециализированных заводах по производству полупроводников , также называемых литейными заводами или «фабриками» [1] , центральной частью которых является « чистая комната ». В более совершенных полупроводниковых устройствах, таких как современные узлы 14/10/7 нм , изготовление может занять до 15 недель, при этом в среднем по отрасли 11–13 недель . [2] Производство на передовых производственных мощностях полностью автоматизировано, а автоматизированные системы обработки материалов обеспечивают транспортировку пластин от машины к машине. [3]

Пластина часто имеет несколько интегральных схем, которые называются кристаллами , поскольку представляют собой кусочки, вырезанные из одной пластины. Отдельные штампы отделяются от готовой пластины в процессе, называемом разделением штампов , также называемом нарезкой пластин. Затем штампы могут подвергаться дальнейшей сборке и упаковке. [4]

На производственных предприятиях пластины транспортируются в специальных герметичных пластиковых коробках, называемых FOUP . [3] FOUP во многих фабриках содержат внутреннюю азотную атмосферу [5] [6] , которая помогает предотвратить окисление меди на пластинах. Медь используется в современных полупроводниках для проводки. [7] Внутренности технологического оборудования и ФОУП остаются чище, чем окружающий воздух в чистом помещении. Эта внутренняя атмосфера известна как мини-среда и помогает повысить производительность, то есть количество работающих устройств на пластине. Эта мини-среда находится внутри EFEM (модуля внешнего интерфейса оборудования) [8] , который позволяет машине получать FOUP и вводить пластины из FOUP в машину. Кроме того, многие машины также обрабатывают пластины в среде чистого азота или вакуума, чтобы уменьшить загрязнение и улучшить контроль процесса. [3] Производственным предприятиям необходимо большое количество жидкого азота для поддержания атмосферы внутри производственного оборудования и FOUP, которые постоянно продуваются азотом. [5] [6] Между FOUP и EFEM также может быть установлена ​​воздушная завеса, которая помогает уменьшить количество влаги, попадающей в FOUP, и повысить урожайность. [9] [10]

Компании, производящие машины, используемые в процессе промышленного производства полупроводников, включают ASML , Applied Materials и Lam Research .

Размер функции

Размер элемента определяется шириной наименьших линий, которые можно создать в процессе производства полупроводников. Это измерение известно как ширина линии. [11] [12] Нанесение рисунка часто относится к фотолитографии, которая позволяет определить дизайн или рисунок устройства на устройстве во время изготовления. [13] F 2 используется для измерения площади различных частей полупроводникового устройства в зависимости от размера элемента процесса производства полупроводников. Многие полупроводниковые устройства состоят из секций, называемых ячейками, и каждая ячейка представляет собой небольшую часть устройства, например ячейку памяти для хранения данных. Таким образом, F 2 используется для измерения площади, занимаемой этими ячейками или секциями. [14]

Конкретный полупроводниковый процесс имеет определенные правила относительно минимального размера (ширины или CD/критического размера) и расстояния для элементов на каждом слое чипа. [15] Обычно новый полупроводниковый процесс имеет меньшие минимальные размеры и меньшее расстояние. В некоторых случаях это позволяет просто уменьшить размеры кристалла существующей конструкции чипа, чтобы снизить затраты, улучшить производительность [15] и увеличить плотность транзисторов (количество транзисторов на квадратный миллиметр) без затрат на новую конструкцию.

Ранние полупроводниковые процессы имели произвольные названия поколений (а именно, HMOS I/II/III/IV и CHMOS III/III-E/IV/V). Позже каждый процесс нового поколения стал известен как технологический узел [16] или технологический узел [17] [18] , обозначаемый минимальным размером элемента процесса в нанометрах (или исторически микрометрах ) длины транзисторного затвора процесса , например « Процесс 90 нм ». Однако с 1994 года этого не произошло [19] , а количество нанометров, используемых для обозначения технологических узлов (см. « Международную технологическую дорожную карту для полупроводников »), стало скорее маркетинговым термином, не имеющим стандартизированной связи с размерами функциональных элементов или с плотностью транзисторов (количество транзисторов на квадратный миллиметр). [20]

Первоначально длина затвора транзистора была меньше, чем предполагалось в названии технологического узла (например, узел 350 нм); однако в 2009 году эта тенденция изменилась. [19] Размеры элементов не могут иметь никакой связи с нанометрами (нм), используемыми в маркетинге. Например, прежний 10-нм техпроцесс Intel на самом деле имеет особенности (кончики ребер FinFET ) шириной 7 нм, поэтому 10-нм техпроцесс Intel по плотности транзисторов аналогичен 7-нм техпроцессу TSMC . Другой пример: 12- и 14-нм техпроцессы GlobalFoundries имеют схожие размеры элементов. [21] [22] [20]

История

20 век

Усовершенствованный тип технологии MOSFET , CMOS , был разработан Чи-Танг Са и Фрэнком Ванлассом из Fairchild Semiconductor в 1963 году. [23] [24] CMOS была коммерциализирована компанией RCA в конце 1960-х годов. [23] RCA коммерчески использовала КМОП для своих интегральных схем серии 4000 в 1968 году, начиная с 20-  микронного процесса, а затем постепенно переходя к 10-мкм техпроцессу в течение следующих нескольких лет. [25]

Производство полупроводниковых устройств с тех пор распространилось из Техаса и Калифорнии в 1960-х годах на остальной мир, включая Азию , Европу и Ближний Восток .

21-го века

Полупроводниковая промышленность сегодня является глобальным бизнесом. Ведущие производители полупроводников обычно имеют предприятия по всему миру. Samsung Electronics , крупнейший в мире производитель полупроводников, имеет предприятия в Южной Корее и США. Intel , второй по величине производитель, имеет предприятия в Европе и Азии, а также в США. TSMC , крупнейшая в мире литейная компания , имеет предприятия на Тайване, в Китае, Сингапуре и США. Qualcomm и Broadcom входят в число крупнейших компаний по производству полупроводников, не имеющих собственных производственных мощностей , и передают свое производство таким компаниям, как TSMC. [26] У них также есть объекты, разбросанные по разным странам.

С 2009 года «узел» стал коммерческим названием в маркетинговых целях, обозначающим новые поколения технологических процессов, без какой-либо привязки к длине литника, шагу металла или шагу литника. [27] [28] [29] Например, 7-нм техпроцесс GlobalFoundries аналогичен 10-нм техпроцессу Intel , поэтому традиционное понятие технологического узла стало размытым. [30] Кроме того, 10-нм техпроцесс TSMC и Samsung лишь немного плотнее 14-нм техпроцесса Intel по плотности транзисторов. На самом деле они гораздо ближе к 14-нм техпроцессу Intel, чем к 10-нм техпроцессу Intel (например, шаг ребер 10-нм техпроцесса Samsung точно такой же, как и у 14-нм техпроцесса Intel: 42 нм). [31] [32]

По состоянию на 2019 год 14-нм и 10-нм чипы находятся в массовом производстве Intel, UMC , TSMC, Samsung, Micron , SK Hynix , Toshiba Memory и GlobalFoundries, а 7-нм техпроцессы находятся в массовом производстве TSMC и Samsung, хотя их 7-нм  техпроцесс определение узла аналогично 10-нанометровому процессу Intel. 5 -нанометровый процесс начал производиться компанией Samsung в 2018 году. [33] По состоянию на 2019 год узлом с самой высокой плотностью транзисторов  является 5- нанометровый узел N5 компании TSMC , [34] с плотностью 171,3  миллиона транзисторов на квадратный миллиметр. [35] В 2019 году Samsung и TSMC объявили о планах по производству 3-нанометровых узлов. GlobalFoundries решила прекратить разработку новых узлов, превышающих 12 нанометров, в целях экономии ресурсов, поскольку она определила, что создание нового завода для обработки заказов менее 12 нм выйдет за рамки финансовых возможностей компании. [36] Intel изменила название своего 10-нм процесса, чтобы позиционировать его как 7-нм процесс. [37]

Список шагов

Это список методов обработки, которые многократно используются при создании современного электронного устройства; этот список не обязательно подразумевает конкретный заказ или то, что все методы используются во время производства, поскольку на практике порядок и применяемые методы часто зависят от технологических предложений литейных заводов или от производителя интегрированных устройств (IDM) для их собственные продукты, и для полупроводникового устройства могут не потребоваться все методы. Оборудование для проведения этих процессов производится несколькими компаниями . Перед запуском завода по производству полупроводников все оборудование должно быть протестировано. [38] Эти процессы выполняются после проектирования интегральной схемы . Фабрика полупроводников работает круглосуточно и без выходных. [39]

Дополнительно могут быть выполнены такие этапы, как травление по Райту .

Прогресс миниатюризации и сравнение размеров узлов процесса производства полупроводников с некоторыми микроскопическими объектами и длинами волн видимого света

Предотвращение загрязнений и дефектов

Когда ширина элементов была намного больше, чем примерно 10 микрометров , чистота полупроводников не была такой большой проблемой, как сегодня при производстве устройств. В 1960-е годы рабочие могли работать над полупроводниковыми приборами в уличной одежде. [55] Поскольку устройства становятся все более интегрированными, чистые помещения должны стать еще чище. Сегодня на производственных предприятиях используется фильтрованный воздух под давлением , чтобы удалить даже мельчайшие частицы, которые могут оседать на пластинах и способствовать возникновению дефектов. Потолки чистых помещений для производства полупроводников оборудованы вентиляторными фильтрами (FFU), которые регулярно заменяют и фильтруют воздух в чистом помещении; Полупроводниковое основное оборудование также может иметь свои собственные FFU для очистки воздуха в EFEM оборудования, что позволяет оборудованию принимать пластины в FOUP. FFU в сочетании с фальшполом с решетками помогают обеспечить ламинарный поток воздуха, гарантируя, что частицы немедленно опускаются на пол и не остаются во взвешенном состоянии в воздухе из-за турбулентности. Рабочие предприятий по производству полупроводников обязаны носить костюмы для чистых помещений , чтобы защитить устройства от загрязнения людьми. [56] Для увеличения производительности FOUP и полупроводниковое основное оборудование могут иметь мини-среду с уровнем пыли класса 1 по ISO, а FOUP могут иметь еще более чистую микросреду. [10] [8] Блоки FOUP и SMIF изолируют пластины от воздуха в чистом помещении, увеличивая производительность, поскольку уменьшают количество дефектов, вызванных частицами пыли. Кроме того, в чистых помещениях на фабриках находится как можно меньше людей, чтобы облегчить поддержание чистоты помещений, поскольку люди, даже когда они носят костюмы для чистых помещений, выделяют большое количество частиц, особенно при ходьбе. [57] [56] [58]

Вафли

Типичная пластина изготавливается из чрезвычайно чистого кремния , который выращивается в монокристаллические цилиндрические слитки ( були ) диаметром до 300 мм (чуть менее 12 дюймов) с использованием процесса Чохральского . Эти слитки затем нарезают на пластины толщиной около 0,75 мм и полируют до получения очень ровной и плоской поверхности.

Обработка

При изготовлении полупроводниковых устройств различные этапы обработки делятся на четыре основные категории: нанесение, удаление, формирование рисунка и модификация электрических свойств.

Предварительная обработка (FEOL)

Обработка FEOL подразумевает формирование транзисторов непосредственно в кремнии . Необработанная пластина создается путем выращивания сверхчистого, практически бездефектного слоя кремния посредством эпитаксии . В самых совершенных логических устройствах перед этапом эпитаксии кремния выполняются трюки, позволяющие улучшить характеристики создаваемых транзисторов. Один метод включает в себя этап деформации , на котором осаждается вариант кремния, такой как кремний-германий (SiGe). После осаждения эпитаксиального кремния кристаллическая решетка несколько растягивается, что приводит к улучшению электронной подвижности. Другой метод, называемый технологией «кремний на изоляторе» , предполагает введение изолирующего слоя между необработанной кремниевой пластиной и тонким слоем последующей эпитаксии кремния. Этот метод приводит к созданию транзисторов с уменьшенными паразитными эффектами . Полупроводниковое оборудование может иметь несколько камер, в которых пластины обрабатываются такими процессами, как осаждение и травление. Многие виды оборудования обрабатывают пластины между этими камерами во внутренней среде азота или вакуума для улучшения управления процессом. [3]


Оксид ворот и имплантаты

За предварительной разработкой поверхности следует выращивание диэлектрика затвора (традиционно диоксида кремния ), формирование рисунка затвора, формирование рисунка областей истока и стока и последующая имплантация или диффузия легирующих примесей для получения желаемых дополнительных электрических свойств. В устройствах динамической памяти с произвольным доступом (DRAM) в это время также изготавливаются накопительные конденсаторы , обычно расположенные над транзистором доступа (ныне несуществующий производитель DRAM Qimonda реализовал эти конденсаторы с выемками, выгравированными глубоко на поверхности кремния).

Внутренняя обработка (BEOL)

Металлические слои

После создания различных полупроводниковых устройств их необходимо соединить между собой для формирования желаемых электрических цепей. Это происходит в серии этапов обработки пластин, которые вместе называются BEOL (не путать с завершающим этапом изготовления чипов, который относится к этапам упаковки и тестирования). Обработка BEOL предполагает создание металлических соединительных проводов, изолированных диэлектрическими слоями. Изолирующим материалом традиционно является SiO 2 или силикатное стекло , но в последнее время используются новые материалы с низкой диэлектрической постоянной (такие как оксикарбид кремния), обычно обеспечивающие диэлектрическую проницаемость около 2,7 (по сравнению с 3,82 для SiO 2 ), хотя материалы с константами всего 2,2 предлагаются производителям микросхем. Вместо этого можно использовать диэлектрики с высоким κ , такие как оксид гафния [60] [61] или оксинитрид гафния-кремния [3] , начиная с узла 45 нм вместе с металлическими затворами. [62] [63]

Межсоединение

Синтетическая деталь стандартной ячейки через четыре слоя планаризованного медного соединения, вплоть до поликремния (розовый), лунок (сероватый) и подложки (зеленый).

Исторически металлические проволоки состояли из алюминия . При таком подходе к проводке (часто называемом субтрактивным алюминием ) сначала наносятся поверхностные пленки алюминия, формируются рисунки, а затем травятся, оставляя изолированные провода. Затем на оголенные провода наносится диэлектрический материал. Различные металлические слои соединяются между собой путем травления отверстий (называемых « переходными отверстиями») в изолирующем материале, а затем нанесения в них вольфрама методом CVD с использованием гексафторида вольфрама ; этот подход все еще может использоваться (и часто используется) при изготовлении многих микросхем памяти, таких как динамическая память с произвольным доступом (DRAM), поскольку количество уровней межсоединений может быть небольшим (не более четырех).

Совсем недавно, когда количество уровней межсоединений для логики существенно увеличилось из-за большого количества транзисторов, которые теперь соединены между собой в современном микропроцессоре , временная задержка в проводке стала настолько значительной, что потребовала изменения материала проводки (от слой межсоединения между алюминием и медью ) и изменение диэлектрического материала (от диоксида кремния к новым изоляторам с низким κ ). Это повышение производительности также достигается за счет снижения затрат за счет обработки дамаска , которая исключает этапы обработки. По мере увеличения количества уровней межсоединений требуется планаризация предыдущих слоев, чтобы обеспечить плоскую поверхность перед последующей литографией. Без этого уровни становились бы все более искривленными, выходя за пределы глубины фокуса доступной литографии и, таким образом, мешая возможности создания узоров. CMP ( химико-механическая планаризация ) является основным методом обработки для достижения такой планаризации, хотя сухое обратное травление все еще иногда используется, когда количество уровней межсоединений не превышает трех. В медных межсоединениях используется электропроводящий барьерный слой, который предотвращает диффузию меди («отравление») в окружающую среду, часто состоящую из нитрида тантала. [64]

Тест пластины

Высокосерийный характер обработки пластин увеличил спрос на метрологию между различными этапами обработки. Например, метрология тонких пленок, основанная на эллипсометрии или рефлектометрии , используется для точного контроля толщины затворного оксида, а также толщины, показателя преломления и коэффициента гашения фоторезиста и других покрытий. [65] Метрологическое оборудование для испытаний пластин используется для проверки того, что пластины не были повреждены в результате предыдущих этапов обработки вплоть до тестирования; если слишком много штампов на одной пластине вышли из строя, вся пластина утилизируется, чтобы избежать затрат на дальнейшую обработку. Виртуальная метрология использовалась для прогнозирования свойств пластин на основе статистических методов без проведения самих физических измерений. [1]

Тест устройства

После завершения процесса обработки полупроводниковые устройства или микросхемы подвергаются различным электрическим испытаниям, чтобы определить, правильно ли они функционируют. Процент устройств на пластине, которые работают правильно, называется доходом . Производители обычно скрывают информацию о своем выходе [66] , но он может достигать 30%, а это означает, что только 30% чипов на пластине работают должным образом. Изменение процесса является одной из многих причин низкой производительности. Тестирование проводится для предотвращения сборки неисправных микросхем в относительно дорогие корпуса.

Выход часто, но не обязательно, зависит от размера устройства (кристалла или чипа). Например, в декабре 2019 года TSMC объявила о среднем выходе ~80% при пиковом выходе на пластину >90% для своих 5-нм тестовых чипов с размером кристалла 17,92 мм2 . Выход снизился до 32,0% при увеличении размера матрицы до 100 мм 2 . [67] Количество дефектов-убийц на пластине, независимо от размера кристалла, можно обозначить как плотность дефектов (или D 0 ) пластины на единицу площади, обычно см 2 .

Фабрика проверяет чипы на пластине с помощью электронного тестера, который прижимает крошечные зонды к чипу. Машина помечает каждый плохой чип каплей красителя. В настоящее время электронная маркировка красителем возможна, если данные испытаний пластин (результаты) заносятся в центральную компьютерную базу данных, а чипы «группируются» (т. е. сортируются в виртуальные корзины) в соответствии с заранее определенными пределами испытаний, такими как максимальные рабочие частоты/тактовые частоты, количество рабочих (полностью функциональных) ядер на чип и т. д. Полученные данные группирования можно отобразить в виде графика или записать в журнал на карте пластины, чтобы отслеживать производственные дефекты и отмечать дефектные чипы. Эту карту также можно использовать при сборке и упаковке пластин. Биннинг позволяет повторно использовать чипы, которые в противном случае были бы отклонены, в продуктах более низкого уровня, как в случае с графическими процессорами и центральными процессорами, увеличивая производительность устройства, особенно потому, что очень немногие чипы полностью функциональны (например, все ядра работают правильно). eFUSE можно использовать для отключения частей чипов, таких как ядра, либо потому, что они не работали должным образом во время объединения, либо в рамках сегментации рынка (использование одного и того же чипа для низкого, среднего и высокого уровня). Чипы могут иметь запасные части, позволяющие чипу полностью пройти тестирование, даже если у него есть несколько нерабочих частей.

Чипы также проверяются еще раз после упаковки, поскольку соединительные провода могут отсутствовать или аналоговые характеристики могут быть изменены из-за упаковки. Это называется «окончательным испытанием». Чипы также можно визуализировать с помощью рентгеновских лучей.

Обычно фабрика взимает плату за время тестирования, причем цены составляют порядка центов за секунду. Время тестирования варьируется от нескольких миллисекунд до нескольких секунд, а программное обеспечение для тестирования оптимизировано для сокращения времени тестирования. Тестирование на нескольких чипах (на нескольких площадках) также возможно, поскольку многие тестировщики имеют ресурсы для выполнения большинства или всех тестов параллельно и на нескольких чипах одновременно.

Чипы часто проектируются с «функциями тестируемости», такими как цепочки сканирования или « встроенная функция самотестирования », для ускорения тестирования и снижения затрат на тестирование. В некоторых конструкциях, в которых используются специализированные аналоговые производственные процессы, пластины также подвергаются лазерной обрезке во время испытаний, чтобы достичь плотно распределенных значений сопротивления, как указано в конструкции.

В хороших проектах стараются тестировать и статистически управлять углами (экстремальные характеристики кремния, вызванные высокой рабочей температурой в сочетании с экстремальными этапами обработки). Большинство конструкций рассчитаны как минимум на 64 угла.

Выход устройства

Выход устройства или выход кристалла — это количество рабочих чипов или кристаллов на пластине, выраженное в процентах, поскольку количество чипов на пластине (Die на пластину, DPW) может варьироваться в зависимости от размера чипов и диаметра пластины. Снижение выхода — это снижение выхода, которое исторически было вызвано в основном частицами пыли, однако с 1990-х годов снижение выхода в основном вызвано вариациями процесса, самого процесса и инструментов, используемых при производстве чипов, хотя пыль по-прежнему остается проблемой в производстве чипов. много старых фабрик. Частицы пыли оказывают все большее влияние на производительность, поскольку размеры элементов уменьшаются в результате внедрения новых процессов. Автоматизация и использование мини-сред внутри производственного оборудования, FOUP и SMIF, позволили снизить количество дефектов, вызванных частицами пыли. Выход устройства должен поддерживаться на высоком уровне, чтобы снизить цену продажи рабочих чипов, поскольку работающим чипам приходится платить за те чипы, которые вышли из строя, а также снизить стоимость обработки пластин. На урожайность также могут влиять конструкция и эксплуатация фабрики.

Для увеличения урожайности необходим строгий контроль над загрязнителями и производственным процессом. Загрязнения могут представлять собой химические загрязнители или частицы пыли. «Смертельные дефекты» — это дефекты, вызванные частицами пыли, которые вызывают полный выход из строя устройства (например, транзистора). Есть и безобидные дефекты. Частица должна быть 1/5 размера элемента, чтобы вызвать серьезный дефект. Таким образом, если диаметр элемента составляет 100 нм, частице достаточно иметь диаметр всего 20 нм, чтобы вызвать серьезный дефект. Электростатическое электричество также может отрицательно повлиять на урожайность. Химические загрязнители или примеси включают тяжелые металлы, такие как железо, медь, никель, цинк, хром, золото, ртуть и серебро, щелочные металлы, такие как натрий, калий и литий, а также такие элементы, как алюминий, магний, кальций, хлор, сера, углерод. и фтор. Важно, чтобы эти элементы не оставались в контакте с кремнием, поскольку они могут снизить выход продукции. Для удаления этих элементов из кремния можно использовать химические смеси; разные смеси эффективны против разных элементов.

Для оценки урожайности используется несколько моделей. Это модель Мерфи, модель Пуассона, биномиальная модель, модель Мура и модель Сидса. Не существует универсальной модели; модель необходимо выбирать исходя из фактического распределения выхода (расположения дефектных чипов). Например, модель Мерфи предполагает, что потеря выхода больше происходит по краям пластины (нерабочие чипы сосредоточены на краях пластины), Модель Пуассона предполагает, что дефектные кристаллы распределены по пластине относительно равномерно, а модель Сидса предполагает, что дефектные кристаллы сгруппированы вместе. [68]

Производство штампов меньшего размера обходится дешевле (поскольку больше умещается на пластине, а пластины обрабатываются и оцениваются как единое целое) и может способствовать достижению более высоких выходов, поскольку у кристаллов меньшего размера меньше шансов иметь дефекты из-за меньшей площади поверхности на пластине. вафля. Однако меньшие по размеру матрицы требуют меньших характеристик для достижения тех же функций, что и более крупные матрицы, или превосходят их, а меньшие по размеру характеристики требуют уменьшения вариаций процесса и повышенной чистоты (уменьшения загрязнения) для поддержания высоких выходов. Метрологические инструменты используются для проверки пластин во время производственного процесса и прогнозирования выхода продукции, поэтому пластины, по прогнозам, имеющие слишком много дефектов, могут быть утилизированы, чтобы сэкономить на затратах на обработку. [66]

Подготовка матрицы

После испытания пластину обычно уменьшают по толщине в процессе, также известном как «обратная обработка», [69] «обратная обработка», «обратная шлифовка пластины» или «утончение пластины» [70] перед тем, как пластина надрезается и затем разбивается на отдельные штампы. , процесс, известный как нарезка пластин . Упаковываются только хорошие чипсы без маркировки.

Упаковка

Пластиковая или керамическая упаковка предполагает установку матрицы, соединение подушечек матрицы со штифтами на упаковке и герметизацию матрицы. Крошечные соединительные провода используются для соединения площадок с контактами. В «старые времена» (1970-е годы) провода прикреплялись вручную, но теперь эту задачу выполняют специализированные машины. Традиционно эти провода состоят из золота, ведущего к свинцовой рамке (произносится как «лид-рамка») из паяной меди; свинец ядовит, поэтому теперь RoHS требует использования «свинцовых рамок», не содержащих свинца .

Пакет Chip Scale (CSP) — еще одна технология упаковки. Пластиковый двухрядный корпус , как и большинство корпусов, во много раз больше, чем реальный кристалл, спрятанный внутри, тогда как чипы CSP имеют размер почти такого же кристалла; CSP может быть построен для каждого кристалла до того, как пластина будет нарезана кубиками.

Упакованные микросхемы проверяются повторно, чтобы убедиться, что они не были повреждены во время упаковки и что операция соединения кристалла с выводом была выполнена правильно. Затем лазер гравирует название и номера чипа на упаковке.

Опасные материалы

В процессе изготовления используются многие токсичные материалы. [71] К ним относятся:

Крайне важно, чтобы работники не подвергались непосредственному воздействию этих опасных веществ. Высокая степень автоматизации, распространенная в отрасли производства микросхем, помогает снизить риски воздействия. На большинстве производственных предприятий используются системы управления выхлопными газами, такие как мокрые скрубберы, камеры сгорания, нагреваемые поглотительные картриджи и т. д., чтобы контролировать риск для работников и окружающей среды.

Хронология коммерческих узлов MOSFET

Смотрите также

Рекомендации

  1. ^ ab Neurotechnology Group, Берлинский технологический институт, Цифровая библиотека IEEE Xplore. «Методы регрессии для виртуальной метрологии толщины слоя при химическом осаждении из паровой фазы». 17 января 2014 г. Архивировано 25 февраля 2020 г. в Wayback Machine . Проверено 9 ноября 2015 г.
  2. ^ «8 вещей, которые вы должны знать о воде и полупроводниках» . Водный риск Китая . 11 июля 2013 года . Проверено 21 января 2023 г.
  3. ^ abcde Йошио, Ниши (2017), Справочник по технологиям производства полупроводников , CRC Press
  4. ^ Лей, Вэй-Шэн; Кумар, Аджай; Яламанчили, Рао (6 апреля 2012 г.). «Технологии разделения штампов для современной упаковки: критический обзор». Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 30 (4): 040801. Бибкод : 2012JVSTB..30d0801L. дои : 10.1116/1.3700230. ISSN  2166-2746.
  5. ^ Аб Ван, HP; Ким, Южная Каролина; Лю, Б. (2014). «Усовершенствованная продувка FOUP с использованием диффузоров для запирания дверей FOUP». 25-я ежегодная конференция по производству передовых полупроводников SEMI (ASMC 2014) . стр. 120–124. дои : 10.1109/ASMC.2014.6846999. ISBN 978-1-4799-3944-2. S2CID  2482339.
  6. ^ ab «Система FOUP/LPU 450 мм в передовых процессах производства полупроводников: исследование по минимизации содержания кислорода внутри FOUP при открытии двери | Публикация конференции IEEE | ​​IEEE Xplore». ieeexplore.ieee.org .
  7. ^ Лин, Ти; Фу, Бен-Ран; Ху, Ши-Чэн; Тан, И-Хан (2018). «Предотвращение попадания влаги в унифицированную капсулу с предварительной продувкой и передним открыванием (FOUP) во время открытия двери в мини-среде | Журналы и журналы IEEE | ​​IEEE Xplore». Транзакции IEEE по производству полупроводников . 31 : 108–115. дои :10.1109/TSM.2018.2791985. S2CID  25469704.
  8. ^ аб Куре, Токуо; Ханаока, Хидео; Сугиура, Такуми; Накагава, Шинья (2007). «Технологии чистых помещений в эпоху мини-среды» (PDF) . Обзор Хитачи . 56 (3): 70–74. CiteSeerX 10.1.1.493.1460 . S2CID  30883737. Архивировано (PDF) из оригинала 1 ноября 2021 г. Проверено 1 ноября 2021 г. 
  9. ^ Беналькасар, Дэвид; Лин, Ти; Ху, Мин-Сюань; Али Заргар, Омид; Линь, Шао-Ю; Ши, Ян-Чэн; Леггетт, Грэм (2022). «Численное исследование влияния скорости потока продувки и воздушной завесы на проникновение влаги в унифицированную капсулу с передним отверстием (FOUP) | Журналы и журналы IEEE | ​​IEEE Xplore». Транзакции IEEE по производству полупроводников . 35 (4): 670–679. дои : 10.1109/TSM.2022.3209221. S2CID  252555815.
  10. ^ Аб Лин, Ти; Али Заргар, Омид; Джуина, Оскар; Ли, Цзы-Чье; Сабусап, Декстер Линдон; Ху, Ши-Чэн; Леггетт, Грэм (2020). «Эффективность различных методов удаления влаги с унифицированной капсулы (FOUP) с передним открытием с помощью местной системы вытяжной вентиляции | Журналы и журналы IEEE | ​​IEEE Xplore». Транзакции IEEE по производству полупроводников . 33 (2): 310–315. дои : 10.1109/TSM.2020.2977122. S2CID  213026336.
  11. ^ Ниси, Ёсио; Деринг, Роберт (19 декабря 2017 г.). Справочник по технологии производства полупроводников. ЦРК Пресс. ISBN 978-1-4200-1766-3.
  12. Мак, Крис (11 марта 2008 г.). Фундаментальные принципы оптической литографии: наука о микрообработке. Джон Уайли и сыновья. ISBN 978-0-470-72386-9.
  13. ^ Ламбрехтс, Винанд; Синха, Саураб; Абдалла, Джассем Ахмед; Принслу, Жако (13 сентября 2018 г.). Расширение закона Мура за счет передовых методов проектирования и обработки полупроводников. ЦРК Пресс. ISBN 978-1-351-24866-2.
  14. Ю, Шимэн (19 апреля 2022 г.). Полупроводниковые запоминающие устройства и схемы. ЦРК Пресс. ISBN 978-1-000-56761-8.
  15. ^ аб Ширрифф, Кен (июнь 2020 г.). «Сжатие кристалла: как Intel уменьшила процессор 8086» . Проверено 22 мая 2022 г.
  16. ^ «Общие характеристики технологий дорожной карты» (PDF) . Ассоциация полупроводниковой промышленности .
  17. ^ Шукла, Приянк. «Краткая история эволюции узла процесса». Проектирование и повторное использование .
  18. ^ "Технологический узел - WikiChip" . Архивировано из оригинала 12 ноября 2020 г. Проверено 20 октября 2020 г.
  19. ↑ Аб Мур, Сэмюэл К. (21 июля 2020 г.). «Лучший способ измерения прогресса в области полупроводников». IEEE Spectrum: Новости технологий, техники и науки . Проверено 22 мая 2022 г.
  20. ↑ Аб Ридли, Джейкоб (29 апреля 2020 г.). «10-нм Intel не больше, чем 7-нм AMD, вы просто неправильно измеряете». ПК-геймер . Архивировано из оригинала 28 октября 2020 года . Проверено 21 октября 2020 г.
  21. ^ Катресс, Ян. «Глубокий обзор Intel Cannon Lake и Core i3-8121U, изготовленный по 10-нм техпроцессу». АнандТех . Архивировано из оригинала 12 ноября 2020 г. Проверено 07.11.2020 .
  22. ^ «СБИС 2018: 12-нм ведущая производительность GlobalFoundries, 12LP» . 22 июля 2018 года. Архивировано из оригинала 7 апреля 2019 года . Проверено 20 октября 2020 г.
  23. ^ ab «1963: изобретена дополнительная конфигурация МОП-схемы» . Музей истории компьютеров . Архивировано из оригинала 23 июля 2019 года . Проверено 6 июля 2019 г.
  24. ^ Сах, Чи-Тан ; Ванласс, Фрэнк (февраль 1963 г.). «Нановаттная логика с использованием полевых металлооксидных полупроводниковых триодов». 1963 г. Международная конференция IEEE по твердотельным схемам. Сборник технических статей . Том. VI. стр. 32–33. дои : 10.1109/ISSCC.1963.1157450.
  25. ^ Лойек, Бо (2007). История полупроводниковой техники. Springer Science & Business Media . п. 330. ИСБН 9783540342588. Архивировано из оригинала 06 августа 2020 г. Проверено 21 июля 2019 г.
  26. ^ «10 крупнейших мировых лидеров продаж полупроводников — 1 квартал 2017 г. — AnySilicon» . AnySilicon . 09.05.2017. Архивировано из оригинала 06.11.2017 . Проверено 19 ноября 2017 г.
  27. ^ Шукла, Приянк. «Краткая история эволюции узла процесса». design-reuse.com . Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  28. ^ Грушка, Джоэл (23 июня 2014 г.). «14 нм, 7 нм, 5 нм: насколько низко может опускаться КМОП? Это зависит от того, спросите ли вы инженеров или экономистов…». ЭкстримТех . Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  29. ^ «Эксклюзив: действительно ли Intel начинает терять свое технологическое лидерство? Выпуск 7-нм узла намечен на 2022 год» . wccftech.com . 10 сентября 2016 г. Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  30. ^ «Жизнь на 10 нм. (Или это 7 нм?) И 3 нм - взгляды на передовые кремниевые платформы». eejournal.com . 2018-03-12. Архивировано из оригинала 9 июля 2019 г. Проверено 9 июля 2019 г.
  31. ^ «Процесс литографии 10 нм - WikiChip» . ru.wikichip.org . Архивировано из оригинала 1 июля 2019 г. Проверено 17 августа 2019 г.
  32. ^ «Процесс литографии 14 нм - WikiChip» . ru.wikichip.org . Архивировано из оригинала 1 июля 2019 г. Проверено 17 августа 2019 г.
  33. ^ Шилов, Антон. «Samsung завершает разработку 5-нм техпроцесса EUV». АнандТех . Архивировано из оригинала 20 апреля 2019 г. Проверено 31 мая 2019 г.
  34. Ченг, Годфри (14 августа 2019 г.). «Закон Мура не умер». Блог TSMC . ТСМС . Проверено 25 сентября 2023 г.
  35. ^ Шор, Дэвид (6 апреля 2019 г.). «TSMC начинает 5-нанометровое рисковое производство» . Викичип-предохранитель . Архивировано из оригинала 5 мая 2020 г. Проверено 7 апреля 2019 г.
  36. ^ Шилов, Антон; Катресс, Ян. «GlobalFoundries прекращает все 7-нм разработки: предпочитает сосредоточиться на специализированных процессах». АнандТех . Архивировано из оригинала 12 октября 2019 г. Проверено 12 октября 2019 г.
  37. ^ Катресс, Ян. «Дорожная карта Intel до 2025 года: с 4 нм, 3 нм, 20 А и 18 А ?!». АнандТех .
  38. ^ «Отключение электроэнергии частично остановило завод по производству микросхем Toshiba Memory» . Рейтер . 21 июня 2019 года. Архивировано из оригинала 16 декабря 2019 года . Получено 16 декабря 2019 г. - через www.reuters.com.
  39. ^ Труда, Департамент США (19 февраля 2000 г.). Справочник по профессиональным перспективам. Издательство ДЖИСТ. ISBN 978-1-56370-677-6– через Google Книги.
  40. ^ аб Рейнхардт, Карен; Керн, Вернер (16 марта 2018 г.). Справочник по технологии очистки кремниевых пластин. Уильям Эндрю. п. 223. ИСБН 978-0-323-51085-1. Проверено 8 января 2024 г.
  41. ^ Натрадж Нараянсвами (1999). «Теоретический анализ очистки пластин с помощью криогенного аэрозоля». Журнал Электрохимического общества . 146 (2): 767–774. Бибкод : 1999JElS..146..767N. дои : 10.1149/1.1391679 . Проверено 8 января 2024 г.
  42. Харс, Адель (20 октября 2022 г.). «Очистка пластин становится ключевой проблемой при производстве 3D-структур». Полупроводниковая техника .
  43. Хаттори, Такеши (30 сентября 2009 г.). Технология очистки и подготовки поверхности при производстве полупроводниковых приборов 11. Электрохимическое общество. ISBN 978-1-56677-742-1– через Google Книги.
  44. ^ Пеле, А.Ф. (29 марта 2022 г.). «Раскрытие потенциала молекулярно-лучевой эпитаксии». АспенКор . Проверено 8 января 2024 г.
  45. Фоглер, Д. (19 ноября 2008 г.). «С новым инструментом Aviza ионно-лучевое осаждение достигает 300 мм». СМИ с золотым флагом . Проверено 8 января 2024 г.
  46. ^ Рю, Дже Хёк; Ким, Бён Хун; Юн, Сон Джин (2017). «Характеристика тонкого слоя карбонизированного фоторезиста и исследование процесса сухой полосы с помощью контроля переменной температуры в режиме реального времени». 2017 28-я ежегодная конференция SEMI по производству передовых полупроводников (ASMC) . стр. 102–106. дои : 10.1109/ASMC.2017.7969207. ISBN 978-1-5090-5448-0.
  47. ^ Айнспрух, Норман Г.; Браун, Дейл М. (1 декабря 2014 г.). Плазменная обработка для СБИС. Академическая пресса. ISBN 978-1-4832-1775-8– через Google Книги.
  48. ^ Верхавербеке, С.; Бодри, К.; Боелен, П. (2004). Водная однопроходная очистка AI/Via с одной пластиной. Электрохимическое общество . стр. 23–26. ISBN 978-1-56677-411-6. Проверено 8 января 2024 г.
  49. ^ «Лазерный подъемник (LLO) Идеально подходит для производства вертикальных светодиодов высокой яркости - Пресс-релиз - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 14 июня 2019 г. Проверено 26 мая 2019 г.
  50. ^ «Информация о продукте | Полировальные машины - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  51. ^ «Информация о продукте | DBG / Отделение упаковки - DISCO Corporation» . www.disco.co.jp . Архивировано из оригинала 16 мая 2019 г. Проверено 26 мая 2019 г.
  52. ^ «Плазменная нарезка кубиками (нарезка кубиками перед измельчением) | Орботех» . www.orbotech.com .[ постоянная мертвая ссылка ]
  53. ^ «Электропроводящая пленка для крепления матрицы (в разработке) | Nitto» . www.nitto.com . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  54. ^ "Клеи для пленки Die Attach" . www.henkel-adhesives.com . Архивировано из оригинала 26 мая 2019 г. Проверено 26 мая 2019 г.
  55. ^ https://www.computerhistory.org/revolution/digital-logic/12/288.
  56. ^ ab «Исследование выделения человеческих частиц». www.cleanroomtechnology.com . Архивировано из оригинала 15 октября 2020 г. Проверено 14 октября 2020 г.
  57. ^ «Система ASYST SMIF — интегрирована с Tencor Surfscan 7200» . История чипа . Архивировано из оригинала 16 октября 2020 г. Проверено 14 октября 2020 г.
  58. Миллер, Майкл Дж. (15 февраля 2018 г.). «Как производятся чипы: посещение GlobalFoundries». PCMag Азия . Проверено 23 ноября 2023 г.
  59. ^ «Процедуры очистки пластин; фоторезист или зачистка резиста; удаление пленок и частиц» . www.eesemi.com . Архивировано из оригинала 15 октября 2020 г. Проверено 14 октября 2020 г.
  60. ^ Татешита, Ю.; Ван, Дж.; Нагано, К.; Хирано, Т.; Миянами, Ю.; Икута, Т.; Катаока, Т.; Кикучи, Ю.; Ямагучи, С.; Андо, Т.; Тай, К.; Мацумото, Р.; Фудзита, С.; Ямане, К.; Ямамото, Р.; Канда, С.; Кугимия, К.; Кимура, Т.; Очи, Т.; Ямамото, Ю.; Нагахама, Ю.; Хагимото, Ю.; Вакабаяси, Х.; Тагава, Ю.; Цукамото, М.; Ивамото, Х.; Сайто, М.; Кадомура, С.; Нагашима, Н. (2006). «Технологии высокопроизводительных и маломощных КМОП-устройств с использованием стеков металлических затворов / High-k с одноосно-напряженными кремниевыми каналами на подложках (100) и (110)». 2006 Международная встреча по электронным устройствам . стр. 1–4. doi :10.1109/IEDM.2006.346959. ISBN 1-4244-0438-Х. S2CID  23881959.
  61. ^ Нараянан, В. (2007). «High-k/Metal Gates – от исследований к реальности». 2007 Международный семинар по физике полупроводниковых приборов . стр. 42–45. дои : 10.1109/IWPSD.2007.4472451. ISBN 978-1-4244-1727-8. S2CID  25926459.
  62. ^ «Решение High-k - Спектр IEEE» . Spectrum.ieee.org .
  63. ^ Харе, Мукеш (2007). «Технология High-K/металлических ворот: новый горизонт». 2007 Конференция IEEE по заказным интегральным схемам . стр. 417–420. дои : 10.1109/CICC.2007.4405765. ISBN 978-1-4244-0786-6. S2CID  1589266.
  64. ^ Ли, З.; Тиан, Ю.; Тенг, К.; Цао, Х. (2020). «Последние достижения в области барьерного слоя медных межсоединений». Материалы . 13 (21): 5049. Бибкод : 2020Mate...13.5049L. дои : 10.3390/ma13215049 . ПМЦ 7664900 . ПМИД  33182434. 
  65. ^ Лепер, Филипп; Штукельбергер, Майкл; Нисен, Бьорн; Вернер, Жереми; Филипич, Миха; Мун, Су-Джин; Ням, Джун Хо; Топич, Марко; Де Вольф, Стефан; Баллиф, Кристоф (2015). «Спектры сложного показателя преломления тонких пленок перовскита CH3NH3PbI3, определенные методами спектроскопической эллипсометрии и спектрофотометрии». Журнал физической химии . 6 (1): 66–71. дои : 10.1021/jz502471h. ПМИД  26263093 . Проверено 16 ноября 2021 г.
  66. ^ ab «Доходность и управление доходностью» (PDF) . Экономически эффективное производство интегральных схем (PDF) . Корпорация по разработке интегральных схем. 1997. ISBN 1-877750-60-3. Архивировано из оригинала 22 января 2023 г. Проверено 22 января 2023 г.{{cite book}}: CS1 maint: bot: исходный статус URL неизвестен ( ссылка )
  67. ^ Катресс, доктор Ян. «Ранний 5-нм тестовый чип TSMC дает 80% производительности, HVM появится в первом полугодии 2020 года» . АнандТех . Архивировано из оригинала 25 мая 2020 г. Проверено 12 апреля 2020 г.
  68. ^ «Усовершенствованные МОП-транзисторы и новые устройства» (PDF) . Архивировано из оригинала (PDF) 26 октября 2020 г. Проверено 23 октября 2020 г.
  69. ^ «Введение в полупроводниковую технологию» (PDF) . СТМикроэлектроника . п. 6. Архивировано (PDF) из оригинала 3 апреля 2018 г. Проверено 25 сентября 2018 г.
  70. ^ "Вафельный фон" . eesemi.com . Архивировано из оригинала 22 января 2021 г. Проверено 18 декабря 2020 г.
  71. ^ CNET. «Почему техногенное загрязнение становится глобальным. Архивировано 23 января 2021 г. в Wayback Machine ». 25 апреля 2002 г. Проверено 9 ноября 2015 г.

дальнейшее чтение

Внешние ссылки