stringtranslate.com

Цифровая электроника

Цифровая электроника

Цифровая электроника — это область электроники , включающая изучение цифровых сигналов и разработку устройств, которые их используют или производят. В этом отличие от аналоговой электроники , которая работает преимущественно с аналоговыми сигналами . Несмотря на название, проектирование цифровой электроники включает в себя важные аспекты аналогового проектирования.

Цифровые электронные схемы обычно состоят из больших сборок логических элементов , часто упакованных в интегральные схемы . Сложные устройства могут иметь простые электронные представления функций булевой логики . [1]

История

Двоичная система счисления была усовершенствована Готфридом Вильгельмом Лейбницем (опубликовано в 1705 году), и он также установил, что с помощью двоичной системы можно объединить принципы арифметики и логики. Цифровая логика, как мы ее знаем, была детищем Джорджа Буля в середине 19 века. В письме 1886 года Чарльз Сандерс Пирс описал, как логические операции могут выполняться с помощью электрических коммутационных схем. [2] Со временем электронные лампы заменили реле для логических операций. Модификация клапана Флеминга, предложенная Ли Де Форестом в 1907 году , могла использоваться в качестве вентиля И. Людвиг Витгенштейн представил версию таблицы истинности из 16 строк как предложение 5.101 Логико-философского трактата (1921). Вальтер Боте , изобретатель схемы совпадений , получил Нобелевскую премию по физике 1954 года за создание первого современного электронного вентиля И в 1924 году.

Механические аналоговые компьютеры начали появляться в первом веке и позже использовались в средневековую эпоху для астрономических расчетов. Во время Второй мировой войны механические аналоговые компьютеры использовались для специализированных военных приложений, таких как расчет прицеливания торпед. В это время были разработаны первые электронные цифровые компьютеры, а термин «цифровой» был предложен Джорджем Стибицем в 1942 году . Первоначально они были размером с большую комнату и потребляли столько же энергии, сколько несколько сотен современных ПК . [3]

Z3 — электромеханический компьютер, разработанный Конрадом Цузе . Созданный в 1941 году, это был первый в мире работающий программируемый , полностью автоматический цифровой компьютер. [4] Его работе способствовало изобретение вакуумной лампы в 1904 году Джоном Амброузом Флемингом .

В то же время цифровые вычисления заменили аналоговые, а чисто электронные элементы схемы вскоре заменили их механические и электромеханические эквиваленты. Джон Бардин и Уолтер Браттейн изобрели транзистор с точечным контактом в Bell Labs в 1947 году, а затем Уильям Шокли изобрел биполярный переходной транзистор в Bell Labs в 1948 году. [5] [6]

В Манчестерском университете группа под руководством Тома Килберна спроектировала и построила машину, использующую недавно разработанные транзисторы вместо электронных ламп. [7] Их « транзисторный компьютер », первый в мире, был введен в эксплуатацию в 1953 году , а вторая версия была завершена там же в апреле 1955 года. С 1955 года и позже транзисторы заменили электронные лампы в компьютерных конструкциях, что привело к « второе поколение» компьютеров. По сравнению с электронными лампами, транзисторы были меньше, более надежны, имели неограниченный срок службы и требовали меньше энергии, чем электронные лампы, тем самым выделяя меньше тепла и позволяя гораздо более плотную концентрацию схем, до десятков тысяч в относительно компактном пространстве.

Работая в Texas Instruments в июле 1958 года, Джек Килби записал свои первоначальные идеи относительно интегральной схемы (ИС), а затем успешно продемонстрировал первую работающую интегральную схему 12 сентября 1958 года. [8] Чип Килби был изготовлен из германия . В следующем году Роберт Нойс из Fairchild Semiconductor изобрел кремниевую интегральную схему. Основой кремниевой ИС Нойса стал планарный процесс , разработанный в начале 1959 года Жаном Эрни , который, в свою очередь, опирался на метод пассивации поверхности кремния Мохамеда Аталлы , разработанный в 1957 году . [9] Эта новая технология, интегральная схема, позволила быстрое и недорогое изготовление сложных схем за счет размещения набора электронных схем на одной небольшой пластине («чипе») из полупроводникового материала , обычно кремния.

Полевой транзистор металл -оксид-полупроводник ( MOSFET ), также известный как МОП-транзистор, был изобретен Мохамедом Аталлой и Давоном Кангом в Bell Labs в 1959 году . , [13] доступность, [14] низкое энергопотребление и высокая плотность транзисторов . [15] Высокая скорость электронного переключения включения-выключения также делает его идеальным для генерации последовательностей импульсов , [16] основой для электронных цифровых сигналов , [17] [18] в отличие от биполярных транзисторов, которые более медленно генерируют аналоговые сигналы , напоминающие синусоидальные. волны . [16] Наряду с крупномасштабной интеграцией МОП (LSI), эти факторы делают МОП-транзистор важным переключающим устройством для цифровых схем . [19] MOSFET произвел революцию в электронной промышленности , [20] [21] и является наиболее распространенным полупроводниковым устройством . [11] [22]

На заре интегральных схем каждый чип состоял всего из нескольких транзисторов, а низкая степень интеграции означала, что процесс проектирования был относительно простым. Доходность производства также была довольно низкой по сегодняшним меркам. Широкое распространение MOSFET-транзисторов в начале 1970-х годов привело к появлению первых микросхем большой интеграции (LSI) с более чем 10 000 транзисторов на одном кристалле. [23] После широкого распространения КМОП , типа логики МОП-транзисторов, к 1980-м годам миллионы, а затем и миллиарды МОП-транзисторов можно было разместить на одном кристалле по мере развития технологии, [24] и хорошие конструкции требовали тщательного планирования, что привело к появлению новые методы проектирования . Количество транзисторов в устройствах и общий объем производства выросли до беспрецедентных высот. По оценкам, общее количество транзисторов, произведенных до 2018 года, составит1,3 × 10 22 (13 секстиллионов ). [25] 

Революция беспроводной связи ( внедрение и распространение беспроводных сетей ) началась в 1990-х годах и стала возможной благодаря широкому распространению ВЧ усилителей мощности на основе МОП-транзисторов ( силовые МОП-транзисторы и LDMOS ) и ВЧ-схем ( ВЧ КМОП ). [26] [27] [28] Беспроводные сети позволили осуществлять общественную цифровую передачу без необходимости использования кабелей, что привело к появлению цифрового телевидения , GPS , спутникового радио , беспроводного Интернета и мобильных телефонов в 1990–2000-х годах.

Характеристики

Преимущество цифровых схем по сравнению с аналоговыми заключается в том, что сигналы, представленные в цифровом виде, могут передаваться без ухудшения качества, вызванного шумом . [29] Например, непрерывный аудиосигнал, передаваемый как последовательность единиц и нулей, может быть восстановлен без ошибок при условии, что шум, улавливаемый при передаче, недостаточен для предотвращения идентификации единиц и нулей.

В цифровой системе более точное представление сигнала можно получить, используя для его представления большее количество двоичных цифр. Хотя для обработки сигналов требуется больше цифровых схем, каждая цифра обрабатывается одним и тем же оборудованием, в результате чего получается легко масштабируемая система. В аналоговой системе дополнительное разрешение требует фундаментального улучшения линейности и шумовых характеристик каждого этапа сигнальной цепи .

В цифровые системы с компьютерным управлением новые функции могут быть добавлены путем доработки программного обеспечения без необходимости внесения изменений в аппаратное обеспечение. Часто это можно сделать вне завода, обновив программное обеспечение продукта. Таким образом, ошибки проектирования продукта можно исправить даже после того, как продукт окажется в руках покупателя.

Хранение информации может быть проще в цифровых системах, чем в аналоговых. Помехоустойчивость цифровых систем позволяет хранить и извлекать данные без ухудшения качества. В аналоговой системе шум, вызванный старением и износом, ухудшает хранимую информацию. В цифровой системе, пока общий шум ниже определенного уровня, информация может быть полностью восстановлена. Даже при наличии более значительного шума использование избыточности позволяет восстановить исходные данные при условии, что не произойдет слишком много ошибок.

В некоторых случаях цифровые схемы используют больше энергии, чем аналоговые схемы, для выполнения тех же задач, тем самым выделяя больше тепла, что увеличивает сложность схем, например, из-за включения радиаторов. В портативных системах или системах с батарейным питанием это может ограничить использование цифровых систем. Например, сотовые телефоны с батарейным питанием часто используют маломощный аналоговый интерфейс для усиления и настройки радиосигналов базовой станции. Однако базовая станция имеет сетевое питание и может использовать энергоемкие, но очень гибкие программные радиостанции . Такие базовые станции можно легко перепрограммировать для обработки сигналов, используемых в новых стандартах сотовой связи.

Многие полезные цифровые системы должны преобразовывать непрерывные аналоговые сигналы в дискретные цифровые сигналы. Это вызывает ошибки квантования . Ошибка квантования может быть уменьшена, если система хранит достаточно цифровых данных для представления сигнала с желаемой степенью точности . Теорема выборки Найквиста-Шеннона дает важное указание относительно того, сколько цифровых данных необходимо для точного отображения данного аналогового сигнала.

Если один фрагмент цифровых данных потерян или неверно истолкован, в некоторых системах может возникнуть лишь небольшая ошибка, тогда как в других системах значение больших блоков связанных данных может полностью измениться. Например, однобитовая ошибка в аудиоданных, хранящихся непосредственно в виде линейной импульсно-кодовой модуляции , вызывает в худшем случае одиночный слышимый щелчок. Но при использовании сжатия звука для экономии места для хранения и времени передачи ошибка в один бит может привести к гораздо более серьезным нарушениям.

Из-за эффекта обрыва пользователям может быть сложно определить, находится ли конкретная система на грани сбоя или она может выдержать гораздо больший шум перед сбоем. Цифровую хрупкость можно уменьшить, разработав надежную цифровую систему . Например, в тракт сигнала можно вставить бит четности или другой метод управления ошибками. Эти схемы помогают системе обнаружить ошибки, а затем либо исправить ошибки , либо запросить повторную передачу данных.

Строительство

Двоичные часы , вручную подключенные к макетам.

Цифровая схема обычно состоит из небольших электронных схем, называемых логическими вентилями , которые можно использовать для создания комбинационной логики . Каждый логический вентиль предназначен для выполнения функции булевой логики при воздействии на логические сигналы. Логический вентиль обычно создается из одного или нескольких переключателей с электрическим управлением, обычно транзисторов , но исторически использовались термоэмиссионные клапаны . Выход логического элемента, в свою очередь, может управлять другими логическими элементами или подавать их на питание.

Другая форма цифровых схем состоит из справочных таблиц (многие из них продаются как « программируемые логические устройства », хотя существуют и другие виды ПЛИС). Таблицы поиска могут выполнять те же функции, что и машины на основе логических элементов, но их можно легко перепрограммировать без изменения схемы подключения. Это означает, что проектировщик часто может исправить ошибки проектирования, не меняя расположение проводов. Поэтому в продуктах небольшого объема программируемые логические устройства часто являются предпочтительным решением. Обычно они разрабатываются инженерами с использованием программного обеспечения для автоматизации электронного проектирования .

Интегральные схемы состоят из нескольких транзисторов на одном кремниевом чипе и являются наименее дорогим способом создания большого количества связанных между собой логических элементов. Интегральные схемы обычно соединяются между собой на печатной плате, которая представляет собой плату, которая содержит электрические компоненты и соединяет их между собой медными дорожками.

Дизайн

Инженеры используют множество методов для минимизации логической избыточности , чтобы уменьшить сложность схемы. Уменьшение сложности уменьшает количество компонентов и потенциальные ошибки и, следовательно, обычно снижает стоимость. Логическую избыточность можно устранить с помощью нескольких известных методов, таких как двоичные диаграммы решений , булева алгебра , карты Карно , алгоритм Куайна-МакКласки и эвристический компьютерный метод . Эти операции обычно выполняются в системе автоматизированного проектирования .

Встроенные системы с микроконтроллерами и программируемыми логическими контроллерами часто используются для реализации цифровой логики в сложных системах, не требующих оптимальной производительности. Эти системы обычно программируются инженерами-программистами или электриками с использованием релейной логики .

Представление

Взаимосвязь ввода-вывода цифровой схемы можно представить в виде таблицы истинности . Эквивалентная схема высокого уровня использует логические элементы , каждый из которых представлен разной формой (стандартизован IEEE / ANSI 91-1984). [30] Низкоуровневое представление использует эквивалентную схему электронных переключателей (обычно транзисторов ).

Большинство цифровых систем делятся на комбинационные и последовательные системы . Выход комбинационной системы зависит только от имеющихся входов. Однако в последовательной системе некоторые выходные данные возвращаются в качестве входных данных, поэтому ее выходные данные могут зависеть от прошлых входных данных в дополнение к текущим входным данным для создания последовательности операций . Упрощенные представления их поведения, называемые конечными автоматами, облегчают проектирование и тестирование.

Последовательные системы делятся на две дополнительные подкатегории. «Синхронные» последовательные системы меняют состояние сразу, когда тактовый сигнал меняет состояние. «Асинхронные» последовательные системы распространяют изменения всякий раз, когда изменяются входные данные. Синхронные последовательные системы создаются с использованием триггеров , которые сохраняют входное напряжение как бит только при изменении тактового сигнала.

Синхронные системы

4-битный кольцевой счетчик с использованием триггеров D-типа является примером синхронной логики. Каждое устройство подключается к тактовому сигналу и обновляется вместе.

Обычный способ реализовать синхронный последовательный конечный автомат — разделить его на часть комбинационной логики и набор триггеров, называемых регистром состояния . Регистр состояния представляет состояние как двоичное число. Комбинационная логика создает двоичное представление следующего состояния. В каждом такте регистр состояния фиксирует обратную связь, генерируемую предыдущим состоянием комбинационной логики, и передает ее обратно в качестве неизменяемого входного сигнала в комбинационную часть конечного автомата. Тактовая частота ограничена наиболее трудоемкими логическими вычислениями в комбинационной логике.

Асинхронные системы

Большая часть цифровой логики является синхронной, поскольку синхронную схему легче создать и проверить. Однако преимущество асинхронной логики состоит в том, что ее скорость не ограничивается произвольными часами; вместо этого он работает на максимальной скорости своих логических элементов. [а]

Тем не менее, большинству систем необходимо принимать внешние несинхронизированные сигналы в свои синхронные логические схемы. Этот интерфейс по своей сути асинхронен и должен анализироваться как таковой. Примеры широко используемых асинхронных схем включают триггеры-синхронизаторы, устройства устранения дребезга переключателей и арбитры .

Компоненты асинхронной логики может быть сложно спроектировать, поскольку необходимо учитывать все возможные состояния и все возможные моменты времени. Обычный метод состоит в том, чтобы построить таблицу минимального и максимального времени существования каждого такого состояния, а затем настроить схему так, чтобы минимизировать количество таких состояний. Разработчик должен заставить схему периодически ждать, пока все ее части перейдут в совместимое состояние (это называется «самовоссинхронизацией»). Без тщательного проектирования легко случайно создать нестабильную асинхронную логику — то есть реальная электроника будет иметь непредсказуемые результаты из-за кумулятивных задержек, вызванных небольшими изменениями в значениях электронных компонентов.

Зарегистрируйте системы перевода

Пример простой схемы с переключаемым выходом. Инвертор формирует комбинационную логику в этой схеме, а регистр сохраняет состояние.

Многие цифровые системы представляют собой машины потоков данных . Они обычно разрабатываются с использованием логики синхронной передачи регистров и пишутся на языках описания оборудования, таких как VHDL или Verilog .

В логике передачи регистров двоичные числа хранятся в группах триггеров, называемых регистрами . Последовательный конечный автомат контролирует, когда каждый регистр принимает новые данные со своего входа. Выходы каждого регистра представляют собой пучок проводов, называемый шиной , по которой это число передается в другие вычисления. Расчет — это просто часть комбинационной логики. Каждое вычисление также имеет выходную шину, и они могут быть подключены к входам нескольких регистров. Иногда на входе регистра имеется мультиплексор , позволяющий хранить число с любой из нескольких шин. [б]

Асинхронные системы передачи регистров (например, компьютеры) имеют общее решение. В 1980-х годах некоторые исследователи обнаружили, что почти все синхронные машины с передачей регистров можно преобразовать в асинхронные конструкции с использованием логики синхронизации «первым пришел — первым обслужен». В этой схеме цифровая машина характеризуется как совокупность потоков данных. На каждом этапе потока схема синхронизации определяет, когда выходные данные этого шага действительны, и дает указание следующему этапу, когда использовать эти выходные данные. [ нужна цитата ]

Компьютерный дизайн

Микропроцессор Intel 80486DX2

Наиболее универсальной логической машиной с переносом регистров является компьютер . По сути, это автоматические бинарные счеты . Блок управления компьютера обычно представляет собой микропрограмму , выполняемую микросеквенсором . Микропрограмма очень похожа на игру на пианино. Каждая запись таблицы микропрограммы определяет состояние каждого бита, управляющего компьютером. Затем секвенсор считает, и счетчик обращается к памяти или машине комбинационной логики, содержащей микропрограмму. Биты микропрограммы управляют арифметико-логическим блоком , памятью и другими частями компьютера, включая сам микросеквенсор. Таким образом, сложная задача разработки средств управления компьютером сводится к более простой задаче программирования набора гораздо более простых логических машин.

Почти все компьютеры синхронны. Однако были созданы и асинхронные компьютеры . Одним из примеров является ядро ​​ASPIDA DLX . [32] Еще одну предложила компания ARM Holdings . [33] Однако у них нет никаких преимуществ в скорости, поскольку современные компьютеры уже работают со скоростью самого медленного компонента, обычно памяти. Они потребляют несколько меньше энергии, поскольку сеть распределения тактовой частоты не требуется. Неожиданным преимуществом является то, что асинхронные компьютеры не создают спектрально чистого радиошума. Они используются в некоторых радиочувствительных контроллерах базовых станций мобильных телефонов. Они могут быть более безопасными в криптографических приложениях, поскольку их электрические и радиоизлучения труднее декодировать. [33]

Компьютерная архитектура

Компьютерная архитектура — это специализированная инженерная деятельность, которая пытается организовать регистры, логику вычислений, шины и другие части компьютера наилучшим образом для конкретной цели. Компьютерные архитекторы приложили немало усилий для снижения стоимости и увеличения скорости компьютеров, а также для повышения их устойчивости к ошибкам программирования. Все более распространенной целью компьютерных архитекторов является снижение энергопотребления в компьютерных системах с батарейным питанием, таких как смартфоны .

Проблемы проектирования цифровых схем

Цифровые схемы состоят из аналоговых компонентов. Конструкция должна гарантировать, что аналоговая природа компонентов не доминирует над желаемым цифровым поведением. Цифровые системы должны управлять запасами по шуму и времени, паразитными индуктивностями и емкостями.

Плохие конструкции имеют периодические проблемы, такие как сбои , исчезающе быстрые импульсы, которые могут активировать одну логику, но не другие, короткие импульсы , которые не достигают действительных пороговых напряжений .

Кроме того, когда синхронизируемые цифровые системы взаимодействуют с аналоговыми системами или системами, которые управляются от других тактовых импульсов, цифровая система может подвергаться метастабильности , когда изменение входа нарушает время настройки для фиксации цифрового входа.

Поскольку цифровые схемы состоят из аналоговых компонентов, цифровые схемы вычисляют медленнее, чем аналоговые схемы низкой точности, которые используют такое же пространство и мощность. Однако цифровая схема будет производить вычисления более повторяемо из-за своей высокой помехоустойчивости.

Инструменты автоматизированного проектирования

Большая часть усилий по проектированию больших логических машин была автоматизирована за счет применения средств автоматизации электронного проектирования (EDA).

Простые описания логики в виде таблицы истинности часто оптимизируются с помощью EDA, который автоматически создает сокращенные системы логических элементов или меньшие справочные таблицы, которые по-прежнему дают желаемые выходные данные. Наиболее распространенным примером такого рода программного обеспечения является минимизатор эвристической логики Espresso . Оптимизация больших логических систем может быть выполнена с использованием алгоритма Куайна-МакКласки или диаграмм двоичных решений . Есть многообещающие эксперименты с генетическими алгоритмами и оптимизацией отжига .

Чтобы автоматизировать дорогостоящие инженерные процессы, некоторые EDA могут брать таблицы состояний , описывающие конечные автоматы , и автоматически создавать таблицу истинности или таблицу функций для комбинационной логики конечного автомата. Таблица состояний представляет собой фрагмент текста, в котором перечислено каждое состояние вместе с условиями, управляющими переходами между ними и связанными с ними выходными сигналами.

Часто реальные логические системы проектируются как серия подпроектов, которые объединяются с помощью потока инструментов . Поток инструментов обычно контролируется с помощью языка сценариев — упрощенного компьютерного языка, который может вызывать инструменты разработки программного обеспечения в правильном порядке. Потоки инструментов для больших логических систем, таких как микропроцессоры , могут состоять из тысяч команд и объединять работу сотен инженеров. Написание и отладка потоков инструментов — общепринятая инженерная специальность в компаниях, производящих цифровые проекты. Поток инструментов обычно заканчивается подробным компьютерным файлом или набором файлов, которые описывают, как физически построить логику. Часто он состоит из инструкций о том, как нарисовать транзисторы и провода на интегральной схеме или печатной плате .

Части инструментальных потоков отлаживаются путем сверки выходных данных моделируемой логики с ожидаемыми входными данными. Инструменты тестирования берут компьютерные файлы с наборами входных и выходных данных и выявляют расхождения между моделируемым поведением и ожидаемым поведением. Если входные данные признаны правильными, сам проект все равно необходимо проверить на правильность. Некоторые потоки инструментов проверяют проекты, сначала создавая проект, а затем сканируя его для получения совместимых входных данных для потока инструментов. Если отсканированные данные совпадают с входными данными, то в процессе работы инструмента, вероятно, не было ошибок.

Данные функциональной проверки обычно называют тестовыми векторами . Векторы функциональных испытаний можно сохранить и использовать на заводе для проверки правильности работы вновь созданной логики. Однако шаблоны функциональных испытаний не выявляют все производственные дефекты. Производственные тесты часто разрабатываются с помощью программных инструментов автоматического создания тестовых шаблонов . Они генерируют векторы тестирования путем изучения структуры логики и систематического создания тестов, нацеленных на конкретные потенциальные неисправности. Таким образом , покрытие ошибок может приближаться к 100 %, при условии, что проект правильно подготовлен к тестированию (см. следующий раздел).

Как только конструкция существует, проверена и тестируема, ее часто необходимо обработать, чтобы сделать возможным производство. Современные интегральные схемы имеют характеристики, меньшие, чем длина волны света, используемого для экспонирования фоторезиста. Программное обеспечение, разработанное с учетом технологичности, добавляет к маскам экспозиции интерференционные картины для устранения обрывов цепи и повышения контрастности масок.

Проектирование для тестируемости

Существует несколько причин для тестирования логической схемы. При первой разработке схемы необходимо убедиться, что проектная схема соответствует требуемым функциональным и временным характеристикам. Когда изготавливается несколько копий правильно спроектированной схемы, важно протестировать каждую копию, чтобы убедиться, что в процессе производства не возникло каких-либо дефектов. [34]

Большая логическая машина (скажем, с более чем сотней логических переменных) может иметь астрономическое количество возможных состояний. Очевидно, что заводское тестирование каждого состояния такой машины невозможно, поскольку даже если тестирование каждого состояния заняло всего лишь микросекунду, возможных состояний больше, чем микросекунд с момента возникновения Вселенной!

Большие логические машины почти всегда проектируются как сборки меньших логических машин. Чтобы сэкономить время, меньшие по размеру субмашины изолируются стационарно установленной конструкцией для тестовых схем и тестируются независимо. Одна из распространенных схем тестирования предусматривает режим тестирования, который заставляет некоторую часть логической машины войти в цикл тестирования . В цикле испытаний обычно тестируются большие независимые части машины.

Граничное сканирование — это распространенная схема тестирования, в которой используется последовательная связь с внешним испытательным оборудованием через один или несколько сдвиговых регистров, известных как цепочки сканирования . Последовательные сканирования имеют только один или два провода для передачи данных, что минимизирует физический размер и стоимость редко используемой тестовой логики. После того, как все биты тестовых данных размещены, схема переконфигурируется для работы в нормальном режиме и подается один или несколько тактовых импульсов для проверки на наличие неисправностей (например, зависание низкого или высокого уровня) и записи результатов теста в триггеры или защелки в регистрах сдвига сканирования. Наконец, результат теста смещается к границе блока и сравнивается с прогнозируемым хорошим результатом машины .

В среде тестирования плат последовательно-параллельное тестирование официально оформлено как стандарт JTAG .

Компромиссы

Расходы

Поскольку в цифровой системе может использоваться множество логических вентилей, общая стоимость создания компьютера сильно коррелирует со стоимостью логического вентиля. В 1930-х годах самые ранние цифровые логические системы были построены на основе телефонных реле, поскольку они были недорогими и относительно надежными.

Самые ранние интегральные схемы были созданы для снижения веса и позволяют компьютеру управления Аполлона управлять инерциальной системой наведения космического корабля. Первые логические элементы интегральной схемы стоили почти 50 долларов США, что в 2022 году будет эквивалентно 495 долларам США. Массовое производство вентилей на интегральных схемах стало наименее дорогим методом построения цифровой логики.

С появлением интегральных схем сокращение абсолютного количества используемых микросхем стало еще одним способом экономии затрат. Цель дизайнера — не просто создать простейшую схему, но и сократить количество компонентов. Иногда это приводит к усложнению конструкции с точки зрения базовой цифровой логики, но, тем не менее, к уменьшению количества компонентов, размера платы и даже энергопотребления.

Надежность

Еще одним важным мотивом сокращения количества компонентов на печатных платах является снижение уровня производственного брака из-за неудачных паяных соединений и повышение надежности. Частота дефектов и отказов имеет тенденцию увеличиваться вместе с общим количеством контактов компонента.

Отказ одного логического элемента может привести к выходу из строя цифровой машины. Там, где требуется дополнительная надежность, может быть предусмотрена резервная логика. Резервирование увеличивает стоимость и энергопотребление по сравнению с нерезервированной системой.

Надежность логического вентиля можно описать его средним временем наработки на отказ (MTBF). Цифровые машины впервые стали полезны, когда среднее время безотказной работы коммутатора превысило несколько сотен часов. Несмотря на это, многие из этих машин имели сложные, хорошо отработанные процедуры ремонта и могли часами выходить из строя из-за перегорания трубки или застревания моли в реле. Современные логические элементы на транзисторных интегральных схемах имеют среднее время безотказной работы более 82 миллиардов часов (8,2 × 10 10  ч ). [35] Этот уровень надежности необходим, поскольку интегральные схемы имеют очень много логических элементов.

Разветвление

Разветвление описывает, сколько логических входов может управляться одним логическим выходом без превышения номинального электрического тока выходов затвора. [36] Минимальное практическое разветвление составляет около пяти. [ нужна цитация ] Современные электронные логические элементы, использующие КМОП- транзисторы для переключателей, имеют более высокие разветвления.

Скорость

Скорость переключения описывает, сколько времени требуется логическому выходу, чтобы измениться с истинного на ложное или наоборот. Более быстрая логика позволяет выполнить больше операций за меньшее время. Современная электронная цифровая логика регулярно переключается при5  ГГц , а некоторые лабораторные системы переключаются со скоростью более1  ТГц . [ нужна цитата ] .

Логические семейства

Цифровой дизайн начался с релейной логики , которая работает медленно. Иногда происходили механические поломки. Количество разветвлений обычно составляло около 10, что ограничивалось сопротивлением катушек и искрением на контактах от высоких напряжений.

Позже стали использовать вакуумные лампы . Они были очень быстрыми, но выделяли тепло и были ненадежными, поскольку нити перегорали. Количество разветвлений обычно составляло от 5 до 7, что ограничивалось нагревом от тока ламп. В 1950-х годах были разработаны специальные компьютерные лампы с нитями накала, в которых не использовались летучие элементы, такие как кремний. Они работали сотни тысяч часов.

Первым семейством полупроводниковой логики была резисторно-транзисторная логика . Он был в тысячу раз более надежным, чем лампы, работал холоднее и потреблял меньше энергии, но имел очень низкий коэффициент разветвления - 3. Диодно-транзисторная логика улучшила коэффициент разветвления примерно до 7 и снизила мощность. В некоторых конструкциях DTL использовались два источника питания с чередующимися слоями NPN- и PNP-транзисторов для увеличения разветвления.

Транзисторно-транзисторная логика (ТТЛ) была большим шагом вперед по сравнению с ними. В ранних устройствах разветвление улучшилось до 10, а в более поздних вариантах надежно достигало 20. TTL также был быстрым, при этом в некоторых вариантах время переключения достигало всего 20 нс. TTL до сих пор используется в некоторых проектах.

Логика, связанная с эмиттером, работает очень быстро, но потребляет много энергии. Он широко использовался для высокопроизводительных компьютеров, таких как Illiac IV , состоящих из множества компонентов среднего размера.

Безусловно, наиболее распространенные цифровые интегральные схемы, создаваемые сегодня, используют КМОП-логику , которая работает быстро, обеспечивает высокую плотность схемы и низкую мощность на затвор. Это используется даже в больших и быстрых компьютерах, таких как IBM System z .

Недавние улучшения

В 2009 году исследователи обнаружили, что мемристоры могут реализовывать логическое хранилище состояний и обеспечивать полное семейство логических устройств с очень небольшим объемом пространства и мощности, используя знакомые полупроводниковые процессы КМОП. [37]

Открытие сверхпроводимости позволило разработать технологию схем быстрого одноквантового потока (RSFQ), в которой вместо транзисторов используются джозефсоновские переходы . В последнее время предпринимаются попытки создания чисто оптических вычислительных систем, способных обрабатывать цифровую информацию с использованием нелинейных оптических элементов.

Смотрите также

Примечания

  1. ^ Примером раннего асинхронного цифрового компьютера был Jaincomp-B1, произведенный компанией Jacobs Instrument Company в 1951 году. [31]
  2. ^ Альтернативно, выходы нескольких устройств могут быть подключены к шине через буферы , которые могут отключать выходы всех устройств, кроме одного.

Рекомендации

  1. ^ Нуль, Линда; Лобур, Юлия (2006). Основы компьютерной организации и архитектуры . Издательство Джонс и Бартлетт. п. 121. ИСБН 978-0-7637-3769-6. Мы можем строить логические схемы (которые, в свою очередь, приводят к цифровым схемам) для любого логического выражения...
  2. ^ Пирс, CS, «Письмо Пирса А. Маркванду », датированное 1886 годом, Сочинения Чарльза С. Пирса , т. 5, 1993, стр. 541–3. Предварительный просмотр Google. См . Беркс, Артур В. , «Обзор: Чарльз С. Пирс, Новые элементы математики », Бюллетень Американского математического общества, т. 84, н. 5 (1978), стр. 913–18, см. 917. PDF Eprint.
  3. ^ В 1946 году ENIAC требовалось примерно 174 кВт. Для сравнения, современный портативный компьютер может потреблять около 30 Вт; почти в шесть тысяч раз меньше. «Приблизительное энергопотребление настольного компьютера и ноутбука». Пенсильванский университет. Архивировано из оригинала 3 июня 2009 года . Проверено 20 июня 2009 г.
  4. ^ «Вновь открытый пионер компьютеров, 50 лет спустя» . Нью-Йорк Таймс . 20 апреля 1994 года.
  5. ^ Ли, Томас Х. (2003). Проектирование КМОП радиочастотных интегральных схем (PDF) . Издательство Кембриджского университета . ISBN 9781139643771. Архивировано (PDF) из оригинала 9 октября 2022 г.
  6. ^ Пуэрс, Роберт; Бальди, Ливио; Вурде, Марсель Ван де; Нутен, Себастьян Э. ван (2017). Наноэлектроника: материалы, устройства, приложения, 2 тома. Джон Уайли и сыновья . п. 14. ISBN 9783527340538.
  7. ^ Лавингтон, Саймон (1998), История компьютеров в Манчестере (2-е изд.), Суиндон: Британское компьютерное общество, стр. 34–35.
  8. ^ «Чип, который построил Джек». Инструменты Техаса. 2008 год . Проверено 29 мая 2008 г.
  9. ^ Бассетт, Росс Нокс (2007). В эпоху цифровых технологий: исследовательские лаборатории, стартапы и развитие MOS-технологий. Издательство Университета Джонса Хопкинса. п. 46. ​​ИСБН 9780801886393.
  10. ^ "1960 - Демонстрация металлооксидно-полупроводникового (МОП) транзистора" . Кремниевый двигатель . Музей истории компьютеров .
  11. ^ ab «Кто изобрел транзистор?». Музей истории компьютеров . 4 декабря 2013 года . Проверено 20 июля 2019 г.
  12. ^ «Триумф МОП-транзистора». YouTube . Музей истории компьютеров . 6 августа 2010 г. Архивировано из оригинала 11 декабря 2021 г. Проверено 21 июля 2019 г.
  13. ^ Мотоёси, М. (2009). «Сквозное кремниевое отверстие (ТСВ)». Труды IEEE . 97 (1): 43–48. doi :10.1109/JPROC.2008.2007462. ISSN  0018-9219. S2CID  29105721.
  14. ^ «Черепаха транзисторов выигрывает гонку - революция CHM» . Музей истории компьютеров . Проверено 22 июля 2019 г.
  15. ^ «Транзисторы поддерживают закон Мура» . ЭТаймс . 12 декабря 2018 года . Проверено 18 июля 2019 г.
  16. ^ ab «Применение МОП-транзисторов в современных конструкциях переключения мощности». Электронный дизайн . 23 мая 2016 года . Проверено 10 августа 2019 г.
  17. ^ Б. СОМАНАТАН НАИР (2002). Цифровая электроника и логическое проектирование . PHI Learning Pvt. ООО с. 289. ИСБН 9788120319561. Цифровые сигналы представляют собой импульсы фиксированной ширины, занимающие только один из двух уровней амплитуды.
  18. ^ Джозеф Мигга Кизза (2005). Безопасность компьютерных сетей . Springer Science & Business Media. ISBN 9780387204734.
  19. ^ 2000 Решенные проблемы цифровой электроники. Тата МакГроу-Хилл Образование . 2005. с. 151. ИСБН 978-0-07-058831-8.
  20. ^ Чан, И-Джен (1992). Исследования гетероструктурных полевых транзисторов InAIAs/InGaAs и GaInP/GaAs для высокоскоростных приложений. Университет Мичигана . п. 1. Si MOSFET произвел революцию в электронной промышленности и, как следствие, влияет на нашу повседневную жизнь практически всеми мыслимыми способами.
  21. ^ Грант, Дункан Эндрю; Говар, Джон (1989). Силовые МОП-транзисторы: теория и приложения. Уайли . п. 1. ISBN 9780471828679. Полевой транзистор металл-оксид-полупроводник (MOSFET) является наиболее часто используемым активным устройством в очень крупномасштабной интеграции цифровых интегральных схем (СБИС). В 1970-е годы эти компоненты произвели революцию в электронной обработке сигналов, системах управления и компьютерах.
  22. ^ Голио, Майк; Голио, Джанет (2018). Пассивные и активные технологии ВЧ и СВЧ. ЦРК Пресс . стр. 18–2. ISBN 9781420006728.
  23. ^ Хиттингер, Уильям К. (1973). «Технология металл-оксид-полупроводник». Научный американец . 229 (2): 48–59. Бибкод : 1973SciAm.229b..48H. doi : 10.1038/scientificamerican0873-48. ISSN  0036-8733. JSTOR  24923169.
  24. ^ Питер Кларк (14 октября 2005 г.). «Intel вступает в эпоху процессоров с миллиардом транзисторов». ЭЭ Таймс .
  25. ^ «13 секстиллионов и счет: долгий и извилистый путь к наиболее часто изготавливаемому человеческому артефакту в истории» . Музей истории компьютеров . 2 апреля 2018 г. Проверено 12 октября 2020 г.
  26. ^ Голио, Майк; Голио, Джанет (2018). Пассивные и активные технологии ВЧ и СВЧ. ЦРК Пресс . стр. ix, I-1, 18–2. ISBN 9781420006728.
  27. ^ Раппапорт, TS (ноябрь 1991 г.). «Беспроводная революция». Журнал коммуникаций IEEE . 29 (11): 52–71. дои : 10.1109/35.109666. S2CID  46573735.
  28. ^ «Беспроводная революция». Экономист . 21 января 1999 года . Проверено 12 сентября 2019 г.
  29. ^ Пол Горовиц и Уинфилд Хилл, Искусство электроники, 2-е изд. Издательство Кембриджского университета, Кембридж, 1989 ISBN 0-521-37095-7, страница 471 
  30. ^ Майни. АК (2007). Принципы, устройства и приложения цифровой электроники. Чичестер, Англия: John Wiley & Sons Ltd.
  31. ^ Симпозиум Пентагона: Коммерчески доступные электронные цифровые компьютеры общего назначения средней цены, Вашингтон, округ Колумбия, 14 мая 1952 г.
  32. ^ "Синхронное/асинхронное ядро ​​DLX ASODA" . OpenCores.org . Проверено 5 сентября 2014 г.
  33. ^ Аб Кларк, Питер. «ARM предлагает первое безтактовое процессорное ядро» . eetimes.com . UBM Tech (Universal Business Media) . Проверено 5 сентября 2014 г.
  34. ^ Браун С. и Вранешич З. (2009). Основы цифровой логики с помощью VHDL Design. 3-е изд. Нью-Йорк, штат Нью-Йорк: Мак Гроу Хилл.
  35. ^ MIL-HDBK-217F, уведомление 2, раздел 5.3, для 100 000 коммерческих КМОП-ИС с размером затвора 0,8 микрометра при 40 °C; показатели отказов в 2010 году выше, поскольку размеры линий уменьшились до 0,045 микрометра и на один затвор требуется меньше внешних соединений.
  36. ^ Кляйтц, Уильям. (2002). Основы цифровых технологий и микропроцессоров: теория и применение. 4-е изд. Upper Saddler Reviver, Нью-Джерси: Пирсон / Прентис Холл
  37. ^ Лехтонен, Ээро; Лайхо, Мика (2009). Логика импликации с состоянием и мемристорами . 2009 Международный симпозиум IEEE/ACM по наномасштабным архитектурам . стр. 33–36. дои :10.1109/NANOARCH.2009.5226356. ISBN 978-1-4244-4957-6.

дальнейшее чтение

Внешние ссылки