stringtranslate.com

Производство полупроводниковых приборов

Чистая комната в исследовательском центре имени Гленна в НАСА

Изготовление полупроводниковых приборов — это процесс, используемый для производства полупроводниковых приборов , как правило, интегральных схем (ИС), таких как компьютерные процессоры , микроконтроллеры и микросхемы памяти (такие как флэш-память NAND и DRAM ). Это многоэтапный фотолитографический и физико-химический процесс (с такими этапами, как термическое окисление , осаждение тонкой пленки, ионная имплантация, травление), в ходе которого электронные схемы постепенно создаются на пластине , обычно изготовленной из чистого монокристаллического полупроводникового материала. Почти всегда используется кремний , но для специализированных применений используются различные составные полупроводники .

Процесс изготовления выполняется на высокоспециализированных заводах по производству полупроводников , также называемых литейными цехами или « фабриками», [1] при этом центральной частью является « чистая комната ». В более продвинутых полупроводниковых устройствах, таких как современные узлы 14/10/7 нм , изготовление может занять до 15 недель, при этом средний показатель по отрасли составляет 11–13 недель. [2] Производство на передовых производственных предприятиях полностью автоматизировано, а автоматизированные системы обработки материалов обеспечивают транспортировку пластин от машины к машине. [3]

Пластина часто имеет несколько интегральных схем, которые называются кристаллами , поскольку они являются частями, нарезанными на кубики из одной пластины. Отдельные кристаллы отделяются от готовой пластины в процессе, называемом разделением кристаллов , также называемом разделением пластин. Затем кристаллы могут подвергаться дальнейшей сборке и упаковке. [4]

На заводах-изготовителях пластины транспортируются внутри специальных герметичных пластиковых коробок, называемых FOUP . [3] FOUP на многих заводах содержат внутреннюю азотную атмосферу [5] [6] , которая помогает предотвратить окисление меди на пластинах. Медь используется в современных полупроводниках для проводки. [7] Внутренности технологического оборудования и FOUP поддерживаются чище, чем окружающий воздух в чистом помещении. Эта внутренняя атмосфера известна как мини-среда и помогает повысить выход, который представляет собой количество рабочих устройств на пластине. Эта мини-среда находится внутри EFEM (модуль переднего конца оборудования) [8] , который позволяет машине получать FOUP и вводить пластины из FOUP в машину. Кроме того, многие машины также обрабатывают пластины в чистой азотной или вакуумной среде для снижения загрязнения и улучшения управления процессом. [3] Заводам-изготовителям требуются большие объемы жидкого азота для поддержания атмосферы внутри производственного оборудования и FOUP, которые постоянно продуваются азотом. [5] [6] Между FOUP и EFEM также может быть установлена ​​воздушная завеса или сетка [9], что помогает уменьшить количество влаги, попадающей в FOUP, и повышает урожайность. [10] [11]

Компании, производящие машины, используемые в процессе промышленного изготовления полупроводников, включают ASML , Applied Materials , Tokyo Electron и Lam Research .

Размер элемента

Размер элемента определяется шириной наименьших линий, которые могут быть сформированы в процессе изготовления полупроводника, это измерение известно как ширина линии. [12] [13] Формирование шаблона часто относится к фотолитографии, которая позволяет определить дизайн устройства или шаблон на устройстве во время изготовления. [14] F 2 используется как измерение площади для различных частей полупроводникового устройства, основанное на размере элемента процесса производства полупроводника. Многие полупроводниковые устройства проектируются в секциях, называемых ячейками, и каждая ячейка представляет собой небольшую часть устройства, такую ​​как ячейка памяти для хранения данных. Таким образом, F 2 используется для измерения площади, занимаемой этими ячейками или секциями. [15]

Конкретный полупроводниковый процесс имеет определенные правила по минимальному размеру (ширина или CD/критический размер) и расстоянию для элементов на каждом слое чипа. [16] Обычно новый полупроводниковый процесс имеет меньшие минимальные размеры и более плотное расстояни. В некоторых случаях это позволяет просто уменьшить размер кристалла в текущем дизайне чипа, чтобы снизить затраты, улучшить производительность, [16] и увеличить плотность транзисторов (количество транзисторов на единицу площади) без затрат на новый дизайн.

Ранние полупроводниковые процессы имели произвольные названия для поколений (а именно, HMOS I/II/III/IV и CHMOS III/III-E/IV/V). Позже каждый новый процесс поколения стал известен как технологический узел [17] или узел процесса , [18] [19] обозначенный минимальным размером элемента процесса в нанометрах (или исторически микрометрах ) длины затвора транзистора процесса , например, « 90 нм процесс ». Однако с 1994 года это не имело места, [20] и количество нанометров, используемых для обозначения узлов процесса (см. Международную технологическую дорожную карту для полупроводников ), стало скорее маркетинговым термином, который не имеет стандартизированной связи с функциональными размерами элементов или с плотностью транзисторов (количество транзисторов на единицу площади). [21]

Первоначально длина затвора транзистора была меньше, чем предполагалось в названии узла процесса (например, узел 350 нм); однако эта тенденция изменилась в 2009 году. [20] Размеры элементов могут не иметь никакой связи с нанометрами (нм), используемыми в маркетинге. Например, бывший 10-нм процесс Intel на самом деле имеет элементы (кончики ребер FinFET ) шириной 7 нм, поэтому 10-нм процесс Intel аналогичен по плотности транзисторов 7-нм процессу TSMC . В качестве другого примера, 12-нм и 14-нм процессы GlobalFoundries имеют схожие размеры элементов. [22] [23] [21]

История

20 век

Один из полупроводниковых оксидных транзисторов, созданных Фрошем и Дериком в 1957 году [24]

В 1955 году Карл Фрош и Линкольн Дерик случайно вырастили слой диоксида кремния поверх кремниевой пластины, для которого они наблюдали эффекты пассивации поверхности. [25] [26] К 1957 году Фрош и Дерик, используя маскирование и предварительное осаждение, смогли изготовить транзисторы из диоксида кремния; первые планарные полевые транзисторы, в которых сток и исток были смежными на одной поверхности. [27] В Bell Labs важность техники Фроша и Дерика и транзисторов была немедленно осознана. Результаты их работы распространялись по Bell Labs в форме служебных записок BTL, прежде чем были опубликованы в 1957 году. В Shockley Semiconductor Шокли распространил препринт своей статьи в декабре 1956 года среди всех своих старших сотрудников, включая Жана Эрни , [28] [29] [30] [31] который позже изобрел планарный процесс в 1959 году, работая в Fairchild Semiconductor . [32] [33]

В 1948 году Бардин запатентовал транзистор с изолированным затвором (IGFET) с инверсионным слоем, концепция Бардина, которая сегодня составляет основу технологии КМОП. [34] Улучшенный тип технологии МОП-транзисторов , КМОП , был разработан Чи-Тан Са и Фрэнком Ванлассом в Fairchild Semiconductor в 1963 году. [35] [36] КМОП была коммерциализирована RCA в конце 1960-х годов. [35] RCA коммерчески использовала КМОП для своих интегральных схем серии 4000 в 1968 году, начав с 20  -мкм процесса, прежде чем постепенно масштабироваться до 10-мкм процесса в течение следующих нескольких лет. [37] Многие ранние производители полупроводниковых приборов разработали и создали свое собственное оборудование, такое как ионные имплантеры. [38]

В 1963 году Гарольд М. Манасевит был первым, кто задокументировал эпитаксиальный рост кремния на сапфире, работая в подразделении Autonetics компании North American Aviation (теперь Boeing ). В 1964 году он опубликовал свои выводы с коллегой Уильямом Симпсоном в журнале Journal of Applied Physics . [39] В 1965 году CW Mueller и PH Robinson изготовили MOSFET (полевой транзистор металл-оксид-полупроводник), используя процесс кремния на сапфире в RCA Laboratories . [40]

С тех пор производство полупроводниковых приборов распространилось из Техаса и Калифорнии в 1960-х годах на остальной мир, включая Азию , Европу и Ближний Восток .

Размер пластины со временем увеличился с 25 мм в 1960 году до 50 мм в 1969 году, 100 мм в 1976 году, 125 мм в 1981 году, 150 мм в 1983 году и 200 мм в 1992 году. [41] [42]

В эпоху 2-дюймовых пластин их обрабатывали вручную с помощью пинцета и удерживали вручную в течение времени, необходимого для данного процесса. Пинцеты были заменены вакуумными зондами, поскольку они генерируют меньше частиц [43] , которые могут загрязнять пластины. Носители или кассеты для пластин, которые могут удерживать несколько пластин одновременно, были разработаны для переноса нескольких пластин между этапами процесса, но пластины приходилось по отдельности извлекать из носителя, обрабатывать и возвращать в носитель, поэтому были разработаны кислотостойкие носители, чтобы исключить этот трудоемкий процесс, поэтому вся кассета с пластинами погружалась в ванны для влажного травления и влажной очистки. Когда размеры пластин увеличились до 100 мм, вся кассета часто не погружалась так равномерно, и качество результатов по всей пластине становилось трудно контролировать. К моменту появления 150-мм пластин кассеты не были погружены в раствор и использовались только в качестве носителей и держателей пластин для хранения пластин, а робототехника стала преобладать в обращении с пластинами. С 200-мм пластинами ручная обработка кассет с пластинами становится рискованной, так как они тяжелее. [44]

В 1970-х годах несколько компаний перевели технологию производства полупроводников с биполярной на КМОП-технологию. [45] Оборудование для производства полупроводников считается дорогим с 1978 года. [46]

В 1984 году KLA разработала первый автоматический инструмент для проверки сетки и фотошаблонов. [47] В 1985 году KLA разработала автоматический инструмент для проверки кремниевых пластин, который заменил ручной микроскопический контроль. [48]

В 1985 году компания STmicroelectronics изобрела BCD, также называемый BCDMOS, процесс производства полупроводников с использованием биполярных , КМОП и LDMOS устройств. [49] Его также можно изготавливать с использованием биполярных, КМОП и ДМОП устройств. [50] Applied Materials разработала первый практический многокамерный или кластерный инструмент для обработки пластин Precision 5000. [51]

До 1980-х годов физическое осаждение из паровой фазы было основным методом, используемым для нанесения материалов на пластины, пока не появился химический метод осаждения из паровой фазы. [52] Оборудование с диффузионными насосами было заменено на оборудование, использующее турбомолекулярные насосы, поскольку последние не используют масло, которое часто загрязняло пластины во время обработки в вакууме. [53]

Пластины диаметром 200 мм впервые были использованы в 1990 году для изготовления чипов. Они стали стандартом до введения пластин диаметром 300 мм в 2000 году. [54] [55] Мостовые инструменты использовались при переходе от 150-мм пластин к 200-мм пластинам [56] и при переходе от 200-мм к 300-мм пластинам. [57] [58] Полупроводниковая промышленность приняла более крупные пластины, чтобы справиться с возросшим спросом на чипы, поскольку более крупные пластины обеспечивают большую площадь поверхности на пластину. [59] Со временем отрасль перешла на 300-мм пластины, что повлекло за собой принятие FOUP, [60] но многие продукты, которые не являются передовыми, по-прежнему производятся на 200-мм пластинах, такие как аналоговые ИС, радиочастотные чипы, силовые ИС, BCDMOS и устройства MEMS. [61] Некоторые процессы, такие как очистка, [62] ионная имплантация, [63] [64] травление, [65] отжиг [66] и окисление [67], начали применять обработку отдельных пластин вместо пакетной обработки пластин с целью улучшения воспроизводимости результатов. [68] [69] Похожая тенденция существовала в производстве МЭМС. [70] В 1998 году компания Applied Materials представила Producer, кластерный инструмент, в котором камеры были сгруппированы попарно для обработки пластин, которые имели общие вакуумные и подводящие линии, но в остальном были изолированы, что было революционным в то время, поскольку обеспечивало более высокую производительность, чем другие кластерные инструменты, без ущерба для качества благодаря изолированной конструкции камеры. [71] [56]

21 век

Полупроводниковая промышленность сегодня является глобальным бизнесом. Ведущие производители полупроводников обычно имеют предприятия по всему миру. Samsung Electronics , крупнейший в мире производитель полупроводников, имеет предприятия в Южной Корее и США. Intel , второй по величине производитель, имеет предприятия в Европе и Азии, а также в США. TSMC , крупнейший в мире литейный завод , имеет предприятия на Тайване, в Китае, Сингапуре и США. Qualcomm и Broadcom являются одними из крупнейших полупроводниковых компаний без собственных производственных мощностей , передающих свое производство на аутсорсинг таким компаниям, как TSMC. [72] У них также есть предприятия, разбросанные по разным странам. Поскольку среднее использование полупроводниковых устройств увеличилось, долговечность стала проблемой, и производители начали проектировать свои устройства так, чтобы они работали достаточно долго, и это зависит от рынка, для которого предназначено устройство. Это стало особенно проблемой на узле 10 нм. [73] [74]

Технология «кремний на изоляторе » (SOI) использовалась в одно-, двух-, четырех-, шести- и восьмиядерных процессорах AMD с технологическими процессами 130 нм, 90 нм, 65 нм, 45 нм и 32 нм, выпущенных с 2001 года. [75] Во время перехода с пластин диаметром 200 мм на 300 мм в 2001 году использовалось множество мостовых инструментов, которые могли обрабатывать как пластины диаметром 200 мм, так и 300 мм. [76] В то время 18 компаний могли производить чипы по передовому технологическому процессу 130 нм. [77]

В 2006 году ожидалось, что пластины диаметром 450 мм будут приняты в 2012 году, а пластины диаметром 675 мм — к 2021 году. [78]

С 2009 года «узел» стал коммерческим названием в маркетинговых целях, которое указывает на новые поколения технологических процессов, без какой-либо связи с длиной затвора, шагом металла или шагом затвора. [79] [80] [81] Например, 7-нм процесс GlobalFoundries был похож на 10-нм процесс Intel , поэтому традиционное понятие узла процесса стало размытым. [82] Кроме того, 10-нм процессы TSMC и Samsung лишь немного плотнее, чем 14-нм процесс Intel по плотности транзисторов. На самом деле они гораздо ближе к 14-нм процессу Intel, чем к 10-нм процессу Intel (например, шаг ребер 10-нм процесса Samsung точно такой же, как и у 14-нм процесса Intel: 42 нм). [83] [84] Intel изменила название своего 10-нм процесса, чтобы позиционировать его как 7-нм процесс. [85] По мере того, как транзисторы становятся меньше, на проектные решения начинают влиять новые эффекты, такие как самонагрев транзисторов, а другие эффекты, такие как электромиграция, стали более очевидными с появлением 16-нм узла. [86] [87]

В 2011 году Intel продемонстрировала полевые транзисторы Fin (FinFET), в которых затвор окружает канал с трех сторон, что позволяет повысить энергоэффективность и снизить задержку затвора, а значит, и производительность по сравнению с планарными транзисторами на 22-нм узле, поскольку планарные транзисторы, у которых только одна поверхность действует как канал, начали страдать от эффекта короткого канала. [88] [89] [90] [91] [92] Стартап под названием SuVolta создал технологию под названием Deeply Depleted Channel (DDC) для конкуренции с FinFET-транзисторами, в которой планарные транзисторы на 65-нм узле имеют очень слабо легированные примеси. [93]

К 2018 году было предложено несколько архитектур транзисторов для возможной замены FinFET , большинство из которых были основаны на концепции GAAFET : [94] горизонтальные и вертикальные нанопровода, горизонтальные нанолистовые транзисторы [95] [96] (Samsung MBCFET, Intel Nanoribbon), вертикальные полевые транзисторы (VFET) и другие вертикальные транзисторы, [97] [98] комплементарные полевые транзисторы (CFET), многослойные полевые транзисторы, вертикальные TFET, FinFET с полупроводниковыми материалами III-V (III-V FinFET), [99] [100] несколько видов горизонтальных транзисторов с затвором allaround, таких как нанокольцо, гексагональная проволока, квадратная проволока и транзисторы с затвором allaround с круглым проводом [101] и полевые транзисторы с отрицательной емкостью (NC-FET), которые используют кардинально разные материалы. [102] FD-SOI рассматривался как потенциальная недорогая альтернатива FinFET. [103]

По состоянию на 2019 год 14-нанометровые и 10-нанометровые чипы находятся в массовом производстве Intel, UMC , TSMC, Samsung, Micron , SK Hynix , Toshiba Memory и GlobalFoundries, а 7-нанометровые чипы находятся в массовом производстве TSMC и Samsung, хотя их 7-нанометровый узел аналогичен 10-нанометровому процессу Intel. 5-нанометровый процесс начал производиться Samsung в 2018 году. [104] По состоянию на 2019 год узлом с самой высокой плотностью транзисторов  является 5- нанометровый узел N5 компании TSMC [105] с плотностью 171,3  миллиона транзисторов на квадратный миллиметр. [106] В 2019 году Samsung и TSMC объявили о планах по производству 3-нанометровых узлов. Компания GlobalFoundries решила прекратить разработку новых узлов свыше 12 нм в целях экономии ресурсов, поскольку она пришла к выводу, что создание нового завода для обработки заказов с параметрами ниже 12 нм будет превышать финансовые возможности компании. [107]

С 2020 по 2022 год наблюдался глобальный дефицит чипов . Во время этого дефицита, вызванного пандемией COVID-19, многие производители полупроводников запретили сотрудникам покидать территорию компании. [108] Многие страны предоставили субсидии полупроводниковым компаниям на строительство новых производственных предприятий или фабрик. Многие компании пострадали от поддельных чипов. [109] Полупроводники стали жизненно важными для мировой экономики и национальной безопасности некоторых стран. [110] [111] [112] США попросили TSMC не производить полупроводники для китайской компании Huawei. [113] Были исследованы транзисторы CFET, которые объединяют транзисторы NMOS и PMOS друг с другом. Были оценены два подхода к созданию этих транзисторов: монолитный подход, при котором оба типа транзисторов изготавливаются в одном процессе, и последовательный подход, при котором два типа транзисторов изготавливаются отдельно, а затем объединяются. [114]

Список шагов

Это список методов обработки, которые используются много раз в ходе создания современного электронного устройства; этот список не обязательно подразумевает определенный порядок или то, что все методы используются во время производства, поскольку на практике порядок и применяемые методы часто специфичны для технологических предложений литейных заводов или специфичны для производителя интегрированных устройств (IDM) для их собственной продукции, а для полупроводникового устройства могут не потребоваться все методы. Оборудование для выполнения этих процессов производится несколькими компаниями . Все оборудование должно быть протестировано до запуска завода по производству полупроводников. [115] Эти процессы выполняются после проектирования интегральных схем . Фабрика полупроводников работает круглосуточно и без выходных [116] , и многие фабрики используют большое количество воды, в основном для промывки чипов. [117]

Дополнительно могут быть выполнены такие этапы, как травление по Райту .

Прогресс миниатюризации и сравнение размеров узлов процесса производства полупроводников с некоторыми микроскопическими объектами и длинами волн видимого света

Предотвращение загрязнений и дефектов

Когда ширина элементов была намного больше, чем около 10 микрометров , чистота полупроводников не была такой большой проблемой, как сегодня при производстве устройств. В 1960-х годах рабочие могли работать с полупроводниковыми устройствами в уличной одежде. [138] По мере того, как устройства становятся более интегрированными, чистые помещения должны становиться еще чище. Сегодня на производственных предприятиях создается давление с помощью отфильтрованного воздуха для удаления даже самых мелких частиц, которые могут оседать на пластинах и способствовать возникновению дефектов. Потолки чистых помещений для полупроводников оснащены вентиляторными фильтрами (FFU) с регулярными интервалами для постоянной замены и фильтрации воздуха в чистом помещении; основное полупроводниковое оборудование может также иметь свои собственные FFU для очистки воздуха в EFEM оборудования, что позволяет оборудованию принимать пластины в FOUP. FFU в сочетании с фальшполами с решетками помогают обеспечить ламинарный поток воздуха, чтобы гарантировать, что частицы немедленно опускаются на пол и не остаются подвешенными в воздухе из-за турбулентности. Работники на предприятии по производству полупроводников обязаны носить костюмы для чистых помещений , чтобы защитить устройства от загрязнения людьми. [139] Для повышения производительности FOUP и основное полупроводниковое оборудование могут иметь мини-среду с уровнем пыли класса 1 ISO, а FOUP могут иметь еще более чистую микросреду. [11] [8] FOUP и SMIF- поды изолируют пластины от воздуха в чистом помещении, увеличивая производительность, поскольку они уменьшают количество дефектов, вызванных частицами пыли. Кроме того, на фабриках в чистом помещении находится как можно меньше людей, чтобы облегчить поддержание чистоты помещения, поскольку люди, даже будучи в костюмах для чистых помещений, выделяют большое количество частиц, особенно при ходьбе. [140] [139] [141]

Вафли

Типичная пластина изготавливается из чрезвычайно чистого кремния , который выращивается в монокристаллические цилиндрические слитки ( були ) диаметром до 300 мм (чуть меньше 12 дюймов) с использованием процесса Чохральского . Затем эти слитки нарезаются на пластины толщиной около 0,75 мм и полируются для получения очень ровной и плоской поверхности. В процессе производства пластины часто группируются в партии, которые представлены FOUP, SMIF или кассетой для пластин, которые являются носителями пластин. FOUP и SMIF могут транспортироваться на фабрике между машинами и оборудованием с помощью автоматизированной системы OHT (транспортировка с помощью подвесного подъемника) AMHS (автоматизированная система обработки материалов). [60] Помимо SMIF и FOUP, кассеты для пластин могут быть помещены в коробку для пластин или коробку для переноски пластин. [142]

Обработка

При изготовлении полупроводниковых приборов различные этапы обработки делятся на четыре основные категории: осаждение, удаление, формирование рисунка и изменение электрических свойств.

Модификация электрических свойств теперь также распространяется на снижение диэлектрической проницаемости материала в низко-κ изоляторах посредством воздействия ультрафиолетового света при УФ-обработке (UVP). Модификация часто достигается окислением , которое может быть выполнено для создания переходов полупроводник-изолятор, например, при локальном окислении кремния ( LOCOS ) для изготовления металлооксидных полевых транзисторов . Современные чипы имеют до одиннадцати или более уровней металла, произведенных за более чем 300 или более последовательных этапов обработки.

Рецепт в производстве полупроводников представляет собой список условий, при которых пластина будет обрабатываться определенной машиной на этапе обработки в процессе производства. [158] Изменчивость процесса является проблемой в обработке полупроводников, при которой пластины обрабатываются неравномерно или качество или эффективность процессов, выполняемых на пластине, неравномерны по всей поверхности пластины. [159]

Обработка на начальном этапе (FEOL)

Обработка пластины разделена на этапы FEOL и BEOL. Обработка FEOL относится к формированию транзисторов непосредственно в кремнии . Необработанная пластина создается путем выращивания сверхчистого, практически бездефектного слоя кремния посредством эпитаксии . [160] [161] В самых современных логических устройствах перед этапом эпитаксии кремния применяются приемы для улучшения производительности создаваемых транзисторов. Один из методов заключается в введении этапа деформации , на котором осаждается вариант кремния, такой как кремний-германий (SiGe). После осаждения эпитаксиального кремния кристаллическая решетка несколько растягивается, что приводит к улучшению электронной подвижности. Другой метод, называемый технологией кремния на изоляторе, заключается в вставке изолирующего слоя между необработанной кремниевой пластиной и тонким слоем последующей эпитаксии кремния. Этот метод приводит к созданию транзисторов с уменьшенными паразитными эффектами . Полупроводниковое оборудование может иметь несколько камер, которые обрабатывают пластины в таких процессах, как осаждение и травление. Многие единицы оборудования обрабатывают пластины между этими камерами во внутренней среде азота или вакуума для улучшения контроля процесса. [3] Мокрые столы с резервуарами, содержащими химические растворы, исторически использовались для очистки и травления пластин. [162]

В узле 90 нм были введены каналы транзисторов, созданные с помощью теневой инженерии, для улучшения тока управления в транзисторах PMOS путем введения областей с кремнием-германием в транзисторе. То же самое было сделано в транзисторах NMOS в узле 20 нм. [126]

В 2007 году Intel представила транзисторы HKMG (high-k/metal gate) на 45-нм узле, которые заменили затворы из поликремния, которые, в свою очередь, заменили технологию с металлическим затвором (алюминиевый затвор) [163] в 1970-х годах. [164] Диэлектрик с высокой диэлектрической проницаемостью, такой как оксид гафния (HfO 2 ), заменил оксинитрид кремния (SiON), чтобы предотвратить большие токи утечки в транзисторе, при этом позволяя продолжать масштабирование или сжатие транзисторов. Однако HfO 2 несовместим с затворами из поликремния, которые требуют использования металлического затвора. В производстве использовались два подхода: gate-first и gate-last. Gate-first состоит из осаждения диэлектрика с высоким значением k, а затем металла затвора, такого как нитрид тантала, чья рабочая функция зависит от того, является ли транзистор NMOS или PMOS, осаждения поликремния, формирования затворной линии, имплантации ионов истока и стока, отжига легирующей примеси и силицидирования поликремния, а также истока и стока. [165] [166] В памяти DRAM эта технология была впервые применена в 2015 году. [167]

Gate-last состоял из первого осаждения диэлектрика High-κ , создания фиктивных затворов, изготовления источников и стоков путем ионного осаждения и отжига легирующей примеси, осаждения «межуровневого диэлектрика (ILD)», а затем полировки и удаления фиктивных затворов для замены их металлом, рабочая функция которого зависела от того, был ли транзистор NMOS или PMOS, таким образом создавая металлический затвор. Третий процесс, полное силицидирование (FUSI) [168], не был реализован из-за производственных проблем. [169] Gate-first стал доминирующим в узле 22 нм/20 нм. [170] [171] HKMG был расширен от планарных транзисторов для использования в FinFET и нанолистовых транзисторах. [172] Гафний-кремниевый оксинитрид также может использоваться вместо оксида гафния. [173] [174] [3] [175] [176]

Начиная с узла 16 нм/14 нм, атомно-слоевое травление (ALE) все чаще используется для травления, поскольку оно обеспечивает более высокую точность, чем другие методы травления. В производстве обычно используется плазменное ALE, которое удаляет материалы однонаправленно, создавая структуры с вертикальными стенками. Термическое ALE также может использоваться для удаления материалов изотропно, во всех направлениях одновременно, но без возможности создания вертикальных стенок. Плазменное ALE изначально было принято для травления контактов в транзисторах, а начиная с узла 7 нм оно также используется для создания транзисторных структур путем их травления. [125]

Оксид затвора и имплантаты

За фронтальной поверхностной инженерией следует рост диэлектрика затвора (традиционно диоксида кремния ), формирование рисунка затвора, формирование рисунка областей источника и стока и последующая имплантация или диффузия легирующих примесей для получения желаемых дополнительных электрических свойств. В устройствах динамической памяти с произвольным доступом (DRAM) в это время также изготавливаются накопительные конденсаторы , обычно размещаемые над транзистором доступа (ныне несуществующий производитель DRAM Qimonda реализовал эти конденсаторы с канавками, вытравленными глубоко в поверхности кремния).

Обработка на финальном этапе (BEOL)

Металлические слои

После создания различных полупроводниковых устройств их необходимо соединить между собой, чтобы сформировать желаемые электрические цепи. Это происходит в серии этапов обработки пластин, которые в совокупности называются BEOL (не путать с конечным этапом изготовления чипов, который относится к этапам упаковки и тестирования). Обработка BEOL включает создание металлических соединительных проводов, которые изолированы диэлектрическими слоями. Изолирующим материалом традиционно является форма SiO 2 или силикатного стекла , но в последнее время используются новые материалы с низкой диэлектрической проницаемостью , также называемые диэлектриками с низким κ (например, оксикарбид кремния), обычно обеспечивающие диэлектрическую проницаемость около 2,7 (по сравнению с 3,82 для SiO 2 ), хотя производителям чипов предлагаются материалы с такой низкой проницаемостью, как 2,2.

BEoL используется с 1995 года на узлах 350 нм и 250 нм (узлы 0,35 и 0,25 микрон), в то же время начала применяться химико-механическая полировка. В то время 2 металлических слоя для межсоединений, также называемых металлизацией [177], были последним словом техники. [178]

Начиная с 22-нм узла некоторые производители добавили новый процесс, называемый middle-of-line (MOL), который соединяет транзисторы с остальной частью межсоединения, выполненного в процессе BEoL. MOL часто основан на вольфраме и имеет верхние и нижние слои: нижний слой соединяет соединения транзисторов, а верхний слой, представляющий собой вольфрамовую вилку, соединяет транзисторы с межсоединением. Intel на 10-нм узле представила контакт над активным затвором (COAG), который вместо размещения контакта для соединения транзистора близко к затвору транзистора, размещает его непосредственно над затвором транзистора для улучшения плотности транзисторов. [179]

Интерконнект

Синтетическая деталь стандартной ячейки через четыре слоя планаризированных медных межсоединений, вплоть до поликремния (розовый), ячеек (сероватый) и подложки (зеленый)

Исторически металлические провода состояли из алюминия . В этом подходе к проводке (часто называемом субтрактивным алюминием ) сначала наносятся пленки алюминия, формируется рисунок, а затем травятся, оставляя изолированные провода. Затем на открытые провода наносится диэлектрический материал. Различные металлические слои соединяются между собой путем травления отверстий (называемых « сквозными отверстиями») в изоляционном материале, а затем в них наносится вольфрам с помощью метода химического осаждения из газовой фазы с использованием гексафторида вольфрама ; этот подход все еще может (и часто используется) использоваться при изготовлении многих микросхем памяти, таких как динамическая память с произвольным доступом (DRAM), поскольку количество уровней межсоединений может быть небольшим (не более четырех). Алюминий иногда сплавляли с медью для предотвращения рекристаллизации. Золото также использовалось в межсоединениях в ранних микросхемах. [180]

Совсем недавно, поскольку число уровней межсоединений для логики существенно возросло из-за большого числа транзисторов, которые теперь соединены между собой в современном микропроцессоре , задержка по времени в проводке стала настолько значительной, что потребовалась смена материала проводки (с алюминиевого на медный межсоединительный слой) [181] наряду с изменением диэлектрического материала в межсоединении (с диоксидов кремния на более новые изоляторы с низким κ ). [182] [183] ​​Это повышение производительности также достигается за счет снижения затрат за счет обработки дамаскина , которая устраняет этапы обработки. По мере увеличения числа уровней межсоединений требуется планаризация предыдущих слоев для обеспечения плоской поверхности перед последующей литографией. Без нее уровни становились бы все более кривыми, выходя за пределы глубины фокуса доступной литографии и, таким образом, мешая возможности шаблонизации. CMP ( химико-механическая планаризация ) является основным методом обработки для достижения такой планаризации, хотя сухое травление все еще иногда применяется, когда число уровней межсоединений не превышает трех. Медные межсоединения используют электропроводящий барьерный слой, чтобы предотвратить диффузию меди в окружающую среду («отравление»), часто из нитрида тантала. [184] [179] В 1997 году IBM была первой, кто принял медные межсоединения. [185]

В 2014 году компания Applied Materials предложила использовать кобальт в межсоединениях на 22-нм узле, применяемом для инкапсуляции медных межсоединений в кобальт для предотвращения электромиграции, заменив нитрид тантала, поскольку в этом применении он должен быть толще кобальта. [179] [186]

Метрология пластин

Высокосерийный характер обработки пластин увеличил спрос на метрологию между различными этапами обработки. Например, метрология тонких пленок, основанная на эллипсометрии или рефлектометрии, используется для строгого контроля толщины оксида затвора, а также толщины, показателя преломления и коэффициента экстинкции фоторезиста и других покрытий. [187] Оборудование/инструменты для метрологии пластин или инструменты для проверки пластин используются для проверки того, что пластины не были повреждены предыдущими этапами обработки вплоть до тестирования; если слишком много кристаллов на одной пластине вышли из строя, вся пластина отбраковывается, чтобы избежать затрат на дальнейшую обработку. Виртуальная метрология использовалась для прогнозирования свойств пластин на основе статистических методов без проведения самих физических измерений. [1]

Тест устройства

После завершения процесса front-end полупроводниковые приборы или чипы подвергаются различным электрическим испытаниям, чтобы определить, функционируют ли они должным образом. Процент приборов на пластине, которые, как было установлено, работают должным образом, называется выходом . Производители обычно скрывают свой выход, [188] но он может быть всего лишь 30%, что означает, что только 30% чипов на пластине работают так, как задумано. Изменение процесса является одной из многих причин низкого выхода. Тестирование проводится для предотвращения сборки неисправных чипов в относительно дорогие корпуса.

Выход часто, но не обязательно, связан с размером устройства (кристалла или микросхемы). Например, в декабре 2019 года TSMC объявила о среднем выходе ~80%, с пиковым выходом на пластину >90% для своих 5-нм тестовых чипов с размером кристалла 17,92 мм 2 . Выход снизился до 32,0% с увеличением размера кристалла до 100 мм 2 . [189] Количество дефектов-убийц на пластине, независимо от размера кристалла, можно отметить как плотность дефектов (или D 0 ) пластины на единицу площади, обычно см 2 .

Фабрика тестирует чипы на пластине с помощью электронного тестера, который прижимает крошечные зонды к чипу. Машина маркирует каждый плохой чип каплей красителя. В настоящее время электронная маркировка красителем возможна, если данные (результаты) тестирования пластины регистрируются в центральной компьютерной базе данных, а чипы «бинируются» (т. е. сортируются по виртуальным ячейкам) в соответствии с заранее определенными тестовыми пределами, такими как максимальные рабочие частоты/такты, количество рабочих (полностью функциональных) ядер на чип и т. д. Полученные данные бинирования могут быть графически отображены или зарегистрированы на карте пластины для отслеживания производственных дефектов и маркировки плохих чипов. Эту карту также можно использовать во время сборки и упаковки пластины. Бинирование позволяет повторно использовать чипы, которые в противном случае были бы отклонены, в продуктах более низкого уровня, как в случае с графическими процессорами и центральными процессорами, что увеличивает выход годных устройств, особенно с учетом того, что очень немногие чипы полностью функциональны (например, имеют все ядра, работающие правильно). eFUSE могут использоваться для отключения частей чипов, таких как ядра, либо потому, что они не работали так, как предполагалось во время биннинга, либо в рамках сегментации рынка (использование одного и того же чипа для низкого, среднего и высокого уровней). Чипы могут иметь запасные части, чтобы чип мог полностью пройти тестирование, даже если у него есть несколько неработающих частей.

Чипы также проверяются снова после упаковки, так как соединительные провода могут отсутствовать, или аналоговые характеристики могут быть изменены упаковкой. Это называется «окончательным тестом». Чипы также могут быть визуализированы с помощью рентгеновских лучей.

Обычно фабрика взимает плату за время тестирования, цены составляют порядка центов за секунду. Время тестирования варьируется от нескольких миллисекунд до пары секунд, а тестовое программное обеспечение оптимизировано для сокращения времени тестирования. Также возможно многочиповое (многосайтовое) тестирование, поскольку у многих тестировщиков есть ресурсы для выполнения большинства или всех тестов параллельно и на нескольких чипах одновременно.

Чипы часто проектируются с "функциями тестируемости", такими как цепи сканирования или " встроенная самопроверка ", чтобы ускорить тестирование и сократить расходы на тестирование. В некоторых конструкциях, использующих специализированные аналоговые процессы FAB, пластины также подвергаются лазерной обрезке во время тестирования, чтобы достичь плотно распределенных значений сопротивления, как указано в конструкции.

Хорошие проекты пытаются протестировать и статистически управлять углами (экстремальные характеристики поведения кремния, вызванные высокой рабочей температурой в сочетании с экстремальными характеристиками этапов обработки на заводе). Большинство проектов справляются как минимум с 64 углами.

Выход устройства

Выход годных устройств или выход кристаллов — это количество рабочих чипов или кристаллов на пластине, указанное в процентах, поскольку количество чипов на пластине (Die per wafer, DPW) может варьироваться в зависимости от размера чипов и диаметра пластины. Ухудшение выхода годных — это снижение выхода годных, которое исторически в основном вызывалось частицами пыли, однако с 1990-х годов ухудшение выхода годных в основном вызывается изменением процесса, самим процессом и инструментами, используемыми при производстве чипов, хотя пыль по-прежнему остается проблемой во многих старых фабриках. Частицы пыли оказывают все большее влияние на выход годных, поскольку размеры элементов уменьшаются с помощью новых процессов. Автоматизация и использование мини-сред внутри производственного оборудования, FOUP и SMIF позволили сократить дефекты, вызванные частицами пыли. Выход годных устройств должен поддерживаться высоким, чтобы снизить отпускную цену рабочих чипов, поскольку рабочие чипы должны оплачивать те чипы, которые вышли из строя, и снизить стоимость обработки пластин. Выход годных также может зависеть от конструкции и эксплуатации фабрики.

Для повышения выхода продукции необходим строгий контроль за загрязняющими веществами и производственным процессом. Загрязняющие вещества могут быть химическими загрязняющими веществами или частицами пыли. «Смертельные дефекты» — это те, которые вызваны частицами пыли, которые вызывают полный отказ устройства (например, транзистора). Существуют также безвредные дефекты. Частица должна быть размером в 1/5 размера элемента, чтобы вызвать смертельный дефект. Таким образом, если элемент имеет поперечник 100 нм, частице достаточно быть всего 20 нм, чтобы вызвать смертельный дефект. Электростатическое электричество также может отрицательно влиять на выход продукции. Химические загрязняющие вещества или примеси включают тяжелые металлы, такие как железо, медь, никель, цинк, хром, золото, ртуть и серебро, щелочные металлы, такие как натрий, калий и литий, и такие элементы, как алюминий, магний, кальций, хлор, сера, углерод и фтор. Важно, чтобы эти элементы не оставались в контакте с кремнием, так как они могут снизить выход продукции. Для удаления этих элементов из кремния можно использовать химические смеси; различные смеси эффективны против различных элементов.

Для оценки выхода годных используются несколько моделей. Это модель Мерфи, модель Пуассона, биномиальная модель, модель Мура и модель Сидса. Универсальной модели не существует; модель должна быть выбрана на основе фактического распределения выхода годных (расположения дефектных чипов). Например, модель Мерфи предполагает, что потеря выхода годных происходит больше на краях пластины (нерабочие чипы концентрируются на краях пластины), модель Пуассона предполагает, что дефектные кристаллы распределены относительно равномерно по всей пластине, а модель Сидса предполагает, что дефектные кристаллы сгруппированы вместе. [190]

Более мелкие матрицы обходятся дешевле в производстве (поскольку больше подходят для пластины, а пластины обрабатываются и оцениваются как единое целое), и могут помочь достичь более высоких показателей выхода, поскольку более мелкие матрицы имеют меньшую вероятность наличия дефекта из-за их меньшей площади поверхности на пластине. Однако более мелкие матрицы требуют меньших характеристик для достижения тех же функций более крупных матриц или их превзойти, а более мелкие характеристики требуют меньшего изменения процесса и большей чистоты (уменьшения загрязнения) для поддержания высокого выхода. Метрологические инструменты используются для проверки пластин во время производственного процесса и прогнозирования выхода, поэтому пластины, которые, по прогнозам, имеют слишком много дефектов, могут быть отбракованы для экономии затрат на обработку. [188]

Подготовка штампа

После тестирования пластина обычно уменьшается в толщине в процессе, также известном как "backlap", [191] "backfinish", "wafer backgrind" или "wafer thinning" [192], прежде чем пластина надрезается и затем разделяется на отдельные матрицы, процесс, известный как wafer dicing . Упаковываются только хорошие, немаркированные чипы.

Упаковка

После проверки работоспособности и сортировки кристаллов их упаковывают. Пластиковая или керамическая упаковка включает монтаж кристалла, соединение кристалла/контактных площадок со штифтами на упаковке и герметизацию кристалла. Для соединения площадок со штифтами используются крошечные соединительные провода . В «старые времена» (1970-е годы) провода прикреплялись вручную, но теперь эту задачу выполняют специализированные машины. Традиционно эти провода были сделаны из золота, ведущего к рамке выводов (произносится как «лид-рамка») из припаянной меди; свинец ядовит, поэтому теперь RoHS предписывает использовать «свинцовые рамки» без свинца . Традиционно контактные площадки располагаются по краям кристалла, однако упаковка Flip-chip может использоваться для размещения контактных площадок по всей поверхности кристалла.

Упаковка масштаба чипа (CSP) — это еще одна технология упаковки. Пластиковый двухрядный корпус , как и большинство корпусов, во много раз больше, чем фактический кристалл, скрытый внутри, тогда как чипы CSP почти такого же размера, как кристалл; CSP может быть создан для каждого кристалла до того, как пластина будет нарезана.

Упакованные чипы повторно тестируются, чтобы убедиться, что они не были повреждены во время упаковки и что операция соединения кристалла с выводом была выполнена правильно. Затем лазер гравирует название и номера чипа на упаковке. Этапы, включающие тестирование и упаковку кристаллов, за которыми следует окончательное тестирование готовых упакованных чипов, называются back end, [193] post-fab, [194] ATMP (сборка, тестирование, маркировка и упаковка) [195] или ATP (сборка, тестирование и упаковка) производства полупроводников и могут выполняться компаниями OSAT (аутсорсинговая сборка и тестирование), которые отделены от литейных заводов полупроводников. Литейный завод — это компания или фабрика, выполняющие производственные процессы, такие как фотолитография и травление, которые являются частью front end производства полупроводников. [196] [197]

Опасные материалы

В процессе изготовления используется много токсичных материалов. [198] К ним относятся:

Крайне важно, чтобы рабочие не подвергались непосредственному воздействию этих опасных веществ. Высокая степень автоматизации, распространенная в отрасли производства ИС, помогает снизить риски воздействия. Большинство производственных предприятий используют системы управления выхлопными газами, такие как мокрые скрубберы, камеры сгорания, нагреваемые картриджи абсорбера и т. д., чтобы контролировать риск для рабочих и окружающей среды.

Хронология коммерческих узлов MOSFET

Смотрите также

Ссылки

  1. ^ ab Hendrik Purwins; Bernd Barak; Ahmed Nagi; Reiner Engel; Uwe Höckele; Andreas Kyek; Srikanth Cherla; Benjamin Lenz; Günter Pfeifer; Kurt Weinzierl (2014). "Методы регрессии для виртуальной метрологии толщины слоя при химическом осаждении из паровой фазы". IEEE/ASME Transactions on Mechatronics . 19 (1): 1–8. doi :10.1109/TMECH.2013.2273435. S2CID  12369827. Получено 9 ноября 2015 г.
  2. ^ "8 вещей, которые вы должны знать о воде и полупроводниках". Риск, связанный с водой в Китае . 11 июля 2013 г. Получено 21 января 2023 г.
  3. ^ abcde Ёсио, Ниши (2017). Справочник по технологии производства полупроводников . CRC Press.
  4. ^ Лей, Вэй-Шенг; Кумар, Аджай; Яламанчили, Рао (2012-04-06). «Технологии разделения кристаллов для усовершенствованной упаковки: критический обзор». Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 30 (4): 040801. Bibcode : 2012JVSTB..30d0801L. doi : 10.1116/1.3700230. ISSN  2166-2746.
  5. ^ ab Wang, HP; Kim, SC; Liu, B. (2014). Усовершенствованная продувка FOUP с использованием диффузоров для применения FOUP в условиях открытого окна. 25-я ежегодная конференция SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014). стр. 120–124. doi :10.1109/ASMC.2014.6846999. ISBN 978-1-4799-3944-2. S2CID  2482339.
  6. ^ Система FOUP/LPU диаметром 450 мм в современных процессах производства полупроводников: исследование минимизации содержания кислорода внутри FOUP при открытии дверцы. Совместный симпозиум по электронному производству и проектированию (eMDC) 2015 года и Международный симпозиум по производству полупроводников (ISSM) 2015 года.
  7. ^ Лин, Ти; Фу, Бен-Ран; Ху, Ши-Чэн; Тан, И-Хан (2018). «Предотвращение попадания влаги в предварительно очищенный передний открывающийся унифицированный контейнер (FOUP) во время открывания двери в мини-среде». Труды IEEE по производству полупроводников . 31 (1): 108–115. doi :10.1109/TSM.2018.2791985. S2CID  25469704.
  8. ^ ab Куре, Токуо; Ханаока, Хидео; Сугиура, Такуми; Накагава, Синья (2007). «Технологии чистых помещений для эпохи мини-среды» (PDF) . Обзор Hitachi . 56 (3): 70–74. CiteSeerX 10.1.1.493.1460 . S2CID  30883737. Архивировано (PDF) из оригинала 01.11.2021 . Получено 01.11.2021 . 
  9. ^ Ким, Сонг Чан; Шельске, Грег (2016). Улучшение производительности продувки FOUP с использованием преобразователя потока EFEM. 27-я ежегодная конференция SEMI Advanced Semiconductor Manufacturing Conference (ASMC) 2016 года. стр. 6–11. doi :10.1109/ASMC.2016.7491075. ISBN 978-1-5090-0270-2. S2CID  3240442.
  10. ^ Бенальказар, Дэвид; Линь, Ти; Ху, Мин-Хсуан; Али Заргар, Омид; Линь, Шао-Ю; Ши, Ян-Чэн; Леггетт, Грэм (2022). «Численное исследование влияния скоростей потока продувки и воздушной завесы на проникновение влажности в унифицированный контейнер с передним отверстием (FOUP)». Труды IEEE по производству полупроводников . 35 (4): 670–679. doi :10.1109/TSM.2022.3209221. S2CID  252555815.
  11. ^ ab Lin, Tee; Ali Zargar, Omid; Juina, Oscar; Lee, Tzu-Chieh; Sabusap, Dexter Lyndon; Hu, Shih-Cheng; Leggett, Graham (2020). «Производительность различных методов удаления влаги из унифицированных контейнеров с передним открыванием (FOUP) с помощью местной системы вытяжной вентиляции». IEEE Transactions on Semiconductor Manufacturing . 33 (2): 310–315. doi :10.1109/TSM.2020.2977122. S2CID  213026336.
  12. ^ Ниши, Ёсио; Деринг, Роберт (19 декабря 2017 г.). Справочник по технологии производства полупроводников. CRC Press. ISBN 978-1-4200-1766-3.
  13. ^ Мак, Крис (11 марта 2008 г.). Основные принципы оптической литографии: наука микропроизводства. John Wiley & Sons. ISBN 978-0-470-72386-9.
  14. ^ Ламбрехтс, Винанд; Синха, Саурабх; Абдаллах, Джассем Ахмед; Принслоо, Жако (13 сентября 2018 г.). Расширение закона Мура с помощью передовых технологий проектирования и обработки полупроводников. CRC Press. ISBN 978-1-351-24866-2.
  15. ^ Ю, Шимэн (19 апреля 2022 г.). Полупроводниковые запоминающие устройства и схемы. CRC Press. ISBN 978-1-000-56761-8.
  16. ^ ab Shirriff, Ken (июнь 2020 г.). "Die shrink: How Intel scaled-down the 8086 processor" . Получено 22 мая 2022 г. .
  17. ^ "Общие характеристики дорожной карты технологий" (PDF) . Ассоциация полупроводниковой промышленности .
  18. ^ Шукла, Приянк. «Краткая история эволюции узлов процессов». Проектирование и повторное использование .
  19. ^ "Technology Node - WikiChip". Архивировано из оригинала 2020-11-12 . Получено 2020-10-20 .
  20. ^ ab Moore, Samuel K. (21 июля 2020 г.). «Лучший способ измерения прогресса в области полупроводников». IEEE Spectrum: Новости технологий, инженерии и науки . Получено 22 мая 2022 г.
  21. ^ ab Ridley, Jacob (29 апреля 2020 г.). «Intel 10 нм не больше, чем AMD 7 нм, вы просто неправильно измеряете». PC Gamer . Архивировано из оригинала 28 октября 2020 г. Получено 21 октября 2020 г.
  22. ^ Кютресс, Ян. "Обзор Intel 10nm Cannon Lake и Core i3-8121U Deep Dive". AnandTech . Архивировано из оригинала 2020-11-12 . Получено 2020-11-07 .
  23. ^ "VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP". 22 июля 2018 г. Архивировано из оригинала 7 апреля 2019 г. Получено 20 октября 2020 г.
  24. ^ Frosch, CJ; Derick, L (1957). «Защита поверхности и селективная маскировка во время диффузии в кремнии». Журнал электрохимического общества . 104 (9): 547. doi :10.1149/1.2428650.
  25. ^ Хафф, Ховард; Риордан, Майкл (01.09.2007). «Фрош и Дерик: Пятьдесят лет спустя (Предисловие)». Интерфейс Электрохимического общества . 16 (3): 29. doi :10.1149/2.F02073IF. ISSN  1064-8208.
  26. ^ US2802760A, Линкольн, Дерик и Фрош, Карл Дж., «Окисление полупроводниковых поверхностей для контролируемой диффузии», выпущено 1957-08-13 
  27. ^ Frosch, CJ; Derick, L (1957). «Защита поверхности и селективная маскировка во время диффузии в кремнии». Журнал электрохимического общества . 104 (9): 547. doi :10.1149/1.2428650.
  28. ^ Московиц, Сэнфорд Л. (2016). Инновации в области передовых материалов: управление глобальными технологиями в 21 веке. John Wiley & Sons . стр. 168. ISBN 978-0-470-50892-3.
  29. ^ Кристоф Лекюйер; Дэвид С. Брук; Джей Ласт (2010). Создатели микрочипа: документальная история Fairchild Semiconductor. MIT Press. С. 62–63. ISBN 978-0-262-01424-3.
  30. ^ Claeys, Cor L. (2003). Интеграция процессов ULSI III: Труды Международного симпозиума. Электрохимическое общество . С. 27–30. ISBN 978-1-56677-376-8.
  31. ^ Лойек, Бо (2007). История полупроводниковой инженерии . Springer Science & Business Media . стр. 120. ISBN 9783540342588.
  32. ^ US 3025589 Hoerni, JA: «Способ изготовления полупроводниковых приборов», подан 1 мая 1959 г. 
  33. ^ US 3064167 Hoerni, JA: «Полупроводниковый прибор», подан 15 мая 1960 г. 
  34. ^ Говард Р. Дафф (2001). «Джон Бардин и физика транзисторов». Труды конференции AIP . Том 550. С. 3–32. doi : 10.1063/1.1354371 .
  35. ^ ab "1963: Complementary MOS Circuit Configuration is Invented". Музей истории компьютеров . Архивировано из оригинала 23 июля 2019 года . Получено 6 июля 2019 года .
  36. ^ Sah, Chih-Tang ; Wanlass, Frank (февраль 1963). «Нановаттная логика с использованием полевых металлооксидных полупроводниковых триодов». Международная конференция IEEE по твердотельным схемам 1963 года. Сборник технических статей . Том VI. С. 32–33. doi :10.1109/ISSCC.1963.1157450.
  37. ^ Лойек, Бо (2007). История полупроводниковой инженерии. Springer Science & Business Media . стр. 330. ISBN 9783540342588. Архивировано из оригинала 2020-08-06 . Получено 2019-07-21 .
  38. ^ abcde Рубин, Леонард; Поат, Джон (июнь–июль 2003 г.). «Ионная имплантация в кремниевой технологии» (PDF) . The Industrial Physicist . 9 (3). Американский институт физики : 12–15.
  39. ^ Манасевит, Х. М.; Симпсон, У. Дж. (1964). «Монокристаллический кремний на сапфировой подложке». Журнал прикладной физики . 35 (4): 1349–51. Bibcode : 1964JAP....35.1349M. doi : 10.1063/1.1713618.
  40. ^ Мюллер, CW; Робинсон, PH (декабрь 1964 г.). «Кремниевые транзисторы, выращенные на сапфире». Труды IEEE . 52 (12): 1487–90. doi :10.1109/PROC.1964.3436.
  41. ^ Расширение закона Мура посредством передовых технологий проектирования и обработки полупроводников. CRC Press. 13 сентября 2018 г. ISBN 978-1-351-24866-2.
  42. ^ «Инфографика эволюции кремниевой пластины».
  43. ^ Как площадь транзистора сократилась в 1 миллион раз. Springer. 15 июля 2020 г. ISBN 978-3-030-40021-7.
  44. ^ Изготовление пластин: производительность и анализ фабрики. Springer. 30 ноября 1995 г. ISBN 978-0-7923-9619-2.
  45. ^ «Внутренняя история крупнейшей ошибки Texas Instruments: микропроцессор TMS9900 — IEEE Spectrum».
  46. ^ «Расходы на производство пластин стремительно растут и выходят из-под контроля».
  47. ^ "Серия Kla 200".
  48. ^ «KLA 2020 — инструмент, который вызвал революцию в управлении урожайностью».
  49. ^ Технология BCD 0,18 мкм с лучшим в своем классе LDMOS от 6 В до 45 В. 26-й Международный симпозиум IEEE по силовым полупроводниковым приборам и ИС (ISPSD) 2014 года. doi :10.1109/ISPSD.2014.6856005.
  50. ^ «Три чипа в одном: история интегральной схемы BCD — IEEE Spectrum». IEEE .
  51. ^ «Система CVD Applied Materials Precision 5000».
  52. ^ "Система напыления серии 900 в линию от MRC".
  53. ^ Вакуумное напыление на полотна, пленки и фольгу. Уильям Эндрю. 21 июня 2011 г. ISBN 978-1-4377-7868-7.
  54. ^ "Первый в мире 300-мм завод Infineon — проблемы и успех". Труды ISSM2000. Девятый международный симпозиум по производству полупроводников (IEEE Cat. No.00CH37130) . doi :10.1109/ISSM.2000.993612. S2CID  109383925.
  55. ^ «Начинается эра 300 мм». 10 июля 2000 г.
  56. ^ ab "Производитель прикладных материалов".
  57. ^ "300-мм полупроводниковые пластины получают отсрочку". История чипов .
  58. ^ «Novellus предлагает 300-мм инструмент CVD, который меньше 200-мм и имеет меньшую стоимость». 10 июля 2000 г.
  59. ^ Хафф, Ховард Р.; Гудолл, Рэндал К.; Буллис, В. Мюррей; Морленд, Джеймс А.; Киршт, Фриц Г.; Уилсон, Сид Р.; Группа стартовых материалов NTRS (24 ноября 1998 г.). «Критерии кремниевой пластины на основе моделей для оптимальной производительности интегральных схем». Труды конференции AIP . Том 449. С. 97–112. doi :10.1063/1.56795.
  60. ↑ Аб Чжан, Цзе (24 сентября 2018 г.). Изготовление пластин: Автоматическая система обработки материалов. Вальтер де Грюйтер ГмбХ & Ко КГ. ISBN 978-3-11-048723-7.
  61. ^ LaPedus, Mark (21 мая 2018 г.). "200mm Fab Crunch". Semiconductor Engineering .
  62. ^ Беккер, Скотт (24 марта 2003 г.). «Будущее пакетной и одиночной обработки пластин в очистке пластин». EE Times .
  63. ^ "Производственные преимущества высокоточной ионной имплантации отдельных пластин". Труды 11-й Международной конференции по технологии ионной имплантации . doi :10.1109/IIT.1996.586424. S2CID  70599233.
  64. ^ Ренау, А. (2005). «Подходы к высокоточной ионной имплантации в одну пластину». Ядерные приборы и методы в физических исследованиях, раздел B: Взаимодействие пучка с материалами и атомами . 237 (1–2): 284–289. Bibcode : 2005NIMPB.237..284R. doi : 10.1016/j.nimb.2005.05.016.
  65. ^ Сухое травление для СБИС. Springer. 29 июня 2013 г. ISBN 978-1-4899-2566-4.
  66. ^ Хоссейн-Пас, С.; Пас, М.Ф. ( 1997). «Понимание влияния партии по сравнению с одиночной пластиной при термической обработке с использованием анализа стоимости владения». Труды MRS . 470. doi :10.1557/PROC-470-201.
  67. ^ Weimer, RA; Eppich, DM; Beaman, KL; Powell, DC; Gonzalez, F. (2003). «Сравнение однопластинчатой ​​и пакетной обработки для устройств памяти». IEEE Transactions on Semiconductor Manufacturing . 16 (2): 138–146. doi :10.1109/TSM.2003.810939.
  68. Введение в микропроизводство. John Wiley & Sons. 28 января 2005 г. ISBN 978-0-470-02056-2.
  69. ^ "Тенденции в обработке отдельных пластин". 1992 Симпозиум по технологии СБИС. Сборник технических статей . doi :10.1109/VLSIT.1992.200629. S2CID  110840307.
  70. ^ "Single Wafer vs Batch Wafer Processing in MEMS Manufacturing". 2 августа 2016 г. Архивировано из оригинала 18 февраля 2024 г. Получено 18 февраля 2024 г.
  71. ^ "Applied Materials Producer - новая революция уже близко". История чипов .
  72. ^ "10 крупнейших мировых лидеров продаж полупроводников - Q1 2017 - AnySilicon". AnySilicon . 2017-05-09. Архивировано из оригинала 2017-11-06 . Получено 2017-11-19 .
  73. ^ Мутшлер, Энн (13 июля 2017 г.). «Старение транзисторов усиливается при 10/7 нм и ниже». Semiconductor Engineering .
  74. ^ Sperling, Ed (14 февраля 2018 г.). «Старение чипов ускоряется». Semiconductor Engineering .
  75. ^ Де Врис, Ханс. «Архитектор чипов: 130-нм процессы Intel и Motorola/AMD будут раскрыты». chip-architect.com . Получено 22 апреля 2018 г.
  76. ^ «Похоже, что «мостовые инструменты» берут на себя 300-мм движение». 26 апреля 2001 г.
  77. ^ «Начинаются литейные войны». 19 апреля 2021 г.
  78. ^ «Готовьтесь к 675-мм заводам в 2021 году». 14 ноября 2006 г.
  79. ^ Шукла, Приянк. "Краткая история эволюции узлов процессов". design-reuse.com . Архивировано из оригинала 2019-07-09 . Получено 2019-07-09 .
  80. ^ Hruska, Joel (23 июня 2014 г.). «14 нм, 7 нм, 5 нм: насколько низко может опуститься КМОП? Это зависит от того, спросите ли вы инженеров или экономистов…». ExtremeTech . Архивировано из оригинала 2019-07-09 . Получено 2019-07-09 .
  81. ^ "Эксклюзив: Действительно ли Intel начинает терять свое лидерство в технологическом процессе? Выпуск 7-нм узла запланирован на 2022 год". wccftech.com . 2016-09-10. Архивировано из оригинала 2019-07-09 . Получено 2019-07-09 .
  82. ^ "Жизнь на 10 нм. (Или 7 нм?) И 3 нм - Взгляды на передовые кремниевые платформы". eejournal.com . 2018-03-12. Архивировано из оригинала 2019-07-09 . Получено 2019-07-09 .
  83. ^ "10 нм литографический процесс - WikiChip". en.wikichip.org . Архивировано из оригинала 2019-07-01 . Получено 2019-08-17 .
  84. ^ "14 нм литографический процесс - WikiChip". en.wikichip.org . Архивировано из оригинала 2019-07-01 . Получено 2019-08-17 .
  85. ^ Катресс, Ян. «План развития технологического процесса Intel до 2025 года: с 4 нм, 3 нм, 20A и 18A?!». AnandTech .
  86. ^ Бейли, Брайан (9 августа 2018 г.). «Старение чипов становится проблемой проектирования». Полупроводниковая инженерия .
  87. ^ Дербишир, Кэтрин (20 апреля 2017 г.). «Остановит ли самонагрев FinFET». Полупроводниковая инженерия .
  88. ^ "FinFET".
  89. ^ «Foundries Rush 3-D Transistors — IEEE Spectrum».
  90. ^ Бор, Марк; Мистри, Кайзад (май 2011 г.). «Революционная 22-нм транзисторная технология Intel» (PDF) . intel.com . Получено 18 апреля 2018 г. .
  91. ^ Грэбхэм, Дэн (6 мая 2011 г.). «Транзисторы Intel Tri-Gate: все, что вам нужно знать». TechRadar . Получено 19 апреля 2018 г. .
  92. ^ Бор, Марк Т.; Янг, Ян А. (2017). «Тенденции масштабирования КМОП и далее». IEEE Micro . 37 (6): 20–29. doi :10.1109/MM.2017.4241347. S2CID  6700881. Следующим крупным новшеством в области транзисторов стало внедрение транзисторов FinFET (tri-gate) на 22-нм технологии Intel в 2011 году.
  93. ^ «Стартап ищет новую жизнь для планарных транзисторов — IEEE Spectrum».
  94. ^ «Все более неравномерная гонка за 3 нм/2 нм». 24 мая 2021 г.
  95. ^ «Чем отличаются транзисторы следующего поколения». 20 октября 2022 г.
  96. ^ «Сложенные нанолистовые транзисторы Intel могут стать следующим шагом в законе Мура».
  97. ^ «Нанопроводные транзисторы могут поддержать закон Мура».
  98. ^ "Нанопровода дают вертикальным транзисторам импульс". 2 августа 2012 г.
  99. ^ «Транзисторы перестанут уменьшаться в 2021 году, но закон Мура продолжит жить». 25 июля 2016 г.
  100. ^ «7 нм, 5 нм, 3 нм: новые материалы и транзисторы, которые приведут нас к пределам закона Мура | Extremetech».
  101. ^ «Что после FinFET?». 24 июля 2017 г.
  102. ^ "Варианты транзисторов за пределами 3 нм". 15 февраля 2018 г.
  103. ^ "Samsung, GF Ramp FD-SOI". 27 апреля 2018 г.
  104. ^ Шилов, Антон. "Samsung завершила разработку 5-нм технологии EUV-процесса". AnandTech . Архивировано из оригинала 20-04-2019 . Получено 31-05-2019 .
  105. ^ Ченг, Годфри (14 августа 2019 г.). «Закон Мура не умер». Блог TSMC . TSMC . Получено 25 сентября 2023 г. .
  106. ^ Шор, Дэвид (2019-04-06). "TSMC начинает 5-нанометровое рисковое производство". WikiChip Fuse . Архивировано из оригинала 2020-05-05 . Получено 2019-04-07 .
  107. ^ Шилов, Антон; Катресс, Ян. «GlobalFoundries Stops All 7nm Development: Opts To Focus on Specialized Processes». AnandTech . Архивировано из оригинала 2019-10-12 . Получено 2019-10-12 .
  108. ^ Смит, Никола; Лю, Джон (июль 2021 г.). «Тайваньские производители микросхем держат рабочих «заключенными» на фабриках, чтобы удовлетворить глобальный пандемический спрос». The Telegraph .
  109. ^ «Нехватка чипов приводит к увеличению количества поддельных чипов и устройств». 14 июня 2021 г.
  110. ^ Миллер, Крис. «Что такое полупроводники и почему они жизненно важны для мировой экономики?». Всемирный экономический форум (интервью).
  111. ^ Уэйлен, Джин (14 июня 2021 г.). «Страны щедро выделяют субсидии и льготы производителям полупроводников, поскольку глобальная война чипов разгорается». The Washington Post .
  112. ^ Шепардсон, Дэвид (21 декабря 2023 г.). «Опасения по поводу импорта из Китая побуждают США начать проверку цепочки поставок полупроводников». Reuters .
  113. ^ «США призывают Тайвань ограничить экспорт микросхем в Китай».
  114. ^ ab "Симпозиум VLSI - TSMC и Imec по передовым технологиям и устройствам на пути к 2 нм". 25 февраля 2024 г.
  115. ^ "Отключение электроэнергии частично остановило завод по производству микросхем Toshiba Memory". Reuters . 21 июня 2019 г. Архивировано из оригинала 16 декабря 2019 г. Получено 16 декабря 2019 г. – через www.reuters.com.
  116. ^ Труд, Министерство труда США (19 февраля 2000 г.). Справочник по перспективам профессиональной деятельности. Издательство JIST. ISBN 978-1-56370-677-6– через Google Книги.
  117. ^ «Дефицит воды и полупроводниковая промышленность». large.stanford.edu .
  118. ^ https://www.st.com/resource/en/application_note/cd00003986-introduction-to-semiconductor-technology-stmicroelectronics.pdf [ простой URL PDF ]
  119. ^ ab Reinhardt, Karen; Kern, Werner (16 марта 2018 г.). Справочник по технологии очистки кремниевых пластин. Уильям Эндрю. стр. 223. ISBN 978-0-323-51085-1. Получено 8 января 2024 г. .
  120. ^ Натрадж Нараянсвами (1999). «Теоретический анализ очистки пластин с использованием криогенного аэрозоля». Журнал электрохимического общества . 146 (2): 767–774. Bibcode : 1999JElS..146..767N. doi : 10.1149/1.1391679 . Получено 8 января 2024 г.
  121. ^ Харс, Адель (20 октября 2022 г.). «Очистка пластин становится ключевой проблемой при производстве 3D-структур». Полупроводниковая инженерия .
  122. ^ Хаттори, Такеши (30 сентября 2009 г.). Технология очистки и кондиционирования поверхности в производстве полупроводниковых приборов 11. Электрохимическое общество. ISBN 978-1-56677-742-1– через Google Книги.
  123. ^ Справочник по производству интегральных схем. Springer. 27 ноября 2023 г. ISBN 978-981-99-2836-1.
  124. ^ Рейтер, Тамас; Макканн, Майкл; Коннолли, Джеймс; Хоги, Шон (февраль 2022 г.). «Исследование изменчивости ширины удаления краев, эффектов и управления процессом в фотолитографическом производстве». Труды IEEE по производству полупроводников . 35 (1): 60–66. doi :10.1109/TSM.2021.3129770. S2CID  244560651.
  125. ^ abc LaPedus, Mark (16 ноября 2017 г.). «Что дальше для атомно-слоевого травления?». Полупроводниковая инженерия .
  126. ^ ab "Эпитаксия".
  127. ^ Пеле, АФ. (29 марта 2022 г.). «Раскрытие потенциала молекулярно-лучевой эпитаксии». AspenCore . Получено 8 января 2024 г. .
  128. ^ Vogler, D. (19 ноября 2008 г.). «Ионно-лучевое осаждение достигает 300 мм с новым инструментом Aviza». Gold Flag Media . Получено 8 января 2024 г.
  129. ^ Рю, Дже Хёк; Ким, Бёнг Хун; Юн, Сон Джин (2017). «Характеристика тонкого слоя карбонизированного фоторезиста и исследование процесса сухой полосы с помощью контролируемого в реальном времени переменного температурного контроля». 2017 28-я ежегодная конференция SEMI Advanced Semiconductor Manufacturing Conference (ASMC) . стр. 102–106. doi :10.1109/ASMC.2017.7969207. ISBN 978-1-5090-5448-0.
  130. ^ Einspruch, Norman G.; Brown, Dale M. (1 декабря 2014 г.). Плазменная обработка для СБИС. Academic Press. ISBN 978-1-4832-1775-8– через Google Книги.
  131. ^ Verhaverbeke, S.; Beaudry, C.; Boelen, P. (2004). Водная однопроходная однослойная очистка AI/Via. Электрохимическое общество . С. 23–26. ISBN 978-1-56677-411-6. Получено 8 января 2024 г. .
  132. ^ "Laser Lift-Off(LLO) Ideal for high bright vertical LED manufacturing - Press Release - DISCO Corporation". www.disco.co.jp . Архивировано из оригинала 2019-06-14 . Получено 2019-05-26 .
  133. ^ "Информация о продукте | Полировальные машины - DISCO Corporation". www.disco.co.jp . Архивировано из оригинала 2019-05-26 . Получено 2019-05-26 .
  134. ^ "Информация о продукте | DBG / Разделение пакетов - DISCO Corporation". www.disco.co.jp . Архивировано из оригинала 2019-05-16 . Получено 2019-05-26 .
  135. ^ "Плазменная нарезка кубиками (нарезка кубиками перед измельчением) | Orbotech". www.orbotech.com .[ постоянная мертвая ссылка ]
  136. ^ "Электропроводящая пленка для присоединения кристаллов (в разработке) | Nitto". www.nitto.com . Архивировано из оригинала 2019-05-26 . Получено 2019-05-26 .
  137. ^ "Die Attach Film Adhesives". www.henkel-adhesives.com . Архивировано из оригинала 2019-05-26 . Получено 2019-05-26 .
  138. ^ «От кусочка кристалла до пластины ИС — революция CHM». www.computerhistory.org .
  139. ^ ab "Исследование выделения человеческих частиц". www.cleanroomtechnology.com . Архивировано из оригинала 2020-10-15 . Получено 2020-10-14 .
  140. ^ "Система ASYST SMIF - интегрирована с Tencor Surfscan 7200". История чипа . Архивировано из оригинала 2020-10-16 . Получено 2020-10-14 .
  141. ^ Миллер, Майкл Дж. (15 февраля 2018 г.). «Как производится чип: посещение GlobalFoundries». PCMag Asia . Получено 23 ноября 2023 г.
  142. ^ Микролитография: Наука и технологии, Второе издание. CRC Press. 3 октября 2018 г. ISBN 978-1-4200-5153-7.
  143. ^ "Процедуры очистки пластин; Удаление фоторезиста или резиста; Удаление пленок и частиц". www.eesemi.com . Архивировано из оригинала 2020-10-15 . Получено 2020-10-14 .
  144. ^ Сугавара, М. (28 мая 1998 г.). Плазменное травление: основы и применение. OUP Oxford. ISBN 978-0-19-159029-0– через Google Книги.
  145. ^ Нодзири, Казуо (25 октября 2014 г.). Технология сухого травления полупроводников. Springer. ISBN 978-3-319-10295-5– через Google Книги.
  146. ^ Сугавара, М. (28 мая 1998 г.). Плазменное травление: основы и применение. OUP Oxford. ISBN 978-0-19-159029-0– через Google Книги.
  147. ^ Ли, Джинмин; Ван, Цзюньси; И, Сяоянь; Лю, Чжицян; Вэй, Тонгбо; Ян, Цзяньчан; Сюэ, Бинь (31 августа 2020 г.). III-нитридные светоизлучающие диоды: технология и применение. Спрингер Природа. ISBN 978-981-15-7949-3– через Google Книги.
  148. ^ Powell, RA (2 декабря 2012 г.). Сухое травление для микроэлектроники. Elsevier. ISBN 978-0-08-098358-5– через Google Книги.
  149. ^ Лиениг, Йенс; Шайбле, Юрген (19 марта 2020 г.). Основы проектирования топологии электронных схем. Springer Nature. ISBN 978-3-030-39284-0– через Google Книги.
  150. ^ Кёлер, Михаэль (11 июля 2008 г.). Офорт в микросистемной технике. John Wiley & Sons. ISBN 978-3-527-61379-3– через Google Книги.
  151. ^ ЛаПедус, Марк (21 марта 2022 г.). «Высокоселективное травление внедряется для чипов следующего поколения». Semiconductor Engineering .
  152. ^ Франссила, Сами (28 января 2005 г.). Введение в микропроизводство. John Wiley & Sons. ISBN 978-0-470-02056-2.
  153. ^ «1954: Разработан процесс диффузии для транзисторов | Кремниевый двигатель | Музей истории компьютеров».
  154. ^ Лянь, Ягуан (10 октября 2022 г.). Полупроводниковые микросхемы и их изготовление: практическое руководство по теории и производству. John Wiley & Sons. ISBN 978-1-119-86780-7.
  155. ^ Главиш, Хилтон; Фарли, Марвин. Обзор основных инноваций в проектировании линий пучка (PDF) . 22-я Международная конференция по технологии ионной имплантации (IIT) 2018 г. doi :10.1109/IIT.2018.8807986.
  156. ^ Фэр, Ричард Б. (январь 1998 г.). «История некоторых ранних разработок в области технологии ионной имплантации, ведущих к производству кремниевых транзисторов» (PDF) . Труды IEEE . 86 (1): 111–137. doi :10.1109/5.658764. Архивировано из оригинала (PDF) 2 сентября 2007 г. . Получено 26 февраля 2024 г. .
  157. ^ "Ионная имплантация в технологии КМОП: проблемы машин". Ионная имплантация и синтез материалов . Springer. 2006. стр. 213–238. doi :10.1007/978-3-540-45298-0_15. ISBN 978-3-540-23674-0.
  158. ^ Виртуальная метрологическая техника для производства полупроводников. Труды Международной объединенной конференции IEEE по нейронным сетям 2006 года. doi :10.1109/IJCNN.2006.247284. S2CID  1194426.
  159. ^ «Угроза изменчивости полупроводников — IEEE Spectrum». IEEE .
  160. ^ Ниши, Ёсио; Деринг, Роберт (19 декабря 2017 г.). Справочник по технологии производства полупроводников. CRC Press. ISBN 978-1-4200-1766-3– через Google Книги.
  161. ^ Grovenor, CRM (5 октября 2017 г.). Микроэлектронные материалы. Routledge. ISBN 978-1-351-43154-5– через Google Книги.
  162. ^ Технология производства полупроводников. World Scientific Publishing Company. 3 марта 2008 г. ISBN 978-981-310-671-0.
  163. ^ Натан, Арокия; Саха, Самар К.; Тоди, Рави М. (август 2023 г.). 75-я годовщина транзистора. John Wiley & Sons. ISBN 978-1-394-20244-7.
  164. ^ High-k/metal затворы в кремниевых устройствах передового края. Конференция SEMI Advanced Semiconductor Manufacturing Conference 2012. doi :10.1109/ASMC.2012.6212925. S2CID  32122636.
  165. ^ Робертсон, Дж. и Уоллес, Р. М. (2015). High-K материалы и металлические затворы для КМОП-приложений. Materials Science and Engineering: R: Reports, 88, 1–41. doi:10.1016/j.mser.2014.11.001
  166. ^ Франк, ММ (2011). Инновации в области high-k/metal gate, обеспечивающие непрерывное масштабирование КМОП. Труды Европейской конференции по исследованию твердотельных приборов (ESSDERC) 2011 года. doi:10.1109/essderc.2011.6044239
  167. ^ Технология DRAM с затвором high-k/metal gate для маломощных и высокопроизводительных продуктов. Международная конференция IEEE по электронным приборам (IEDM) 2015 г. doi : 10.1109/IEDM.2015.7409775. S2CID  35956689.
  168. ^ «Интегрирование high-k/metal затворов: затвор-первый или затвор-последний? | Semiconductor Digest».
  169. ^ "IEDM 2009: варианты «gate-first» и «gate-last» HKMG | Semiconductor Digest".
  170. ^ «Путь Samsung к 14 нм». 12 мая 2015 г.
  171. ^ Комплементарный металл-оксид-полупроводник. BoD – Книги по запросу. Август 2018. ISBN 978-1-78923-496-1.
  172. ^ ЛаПедус, Марк (24 июля 2017 г.). «Что после FinFET?». Полупроводниковая инженерия .
  173. ^ Татешита, Ю.; Ван, Дж.; Нагано, К.; Хирано, Т.; Миянами, Ю.; Икута, Т.; Катаока, Т.; Кикучи, Ю.; Ямагучи, С.; Андо, Т.; Тай, К.; Мацумото, Р.; Фудзита, С.; Ямане, К.; Ямамото, Р.; Канда, С.; Кугимия, К.; Кимура, Т.; Очи, Т.; Ямамото, Ю.; Нагахама, Ю.; Хагимото, Ю.; Вакабаяси, Х.; Тагава, Ю.; Цукамото, М.; Ивамото, Х.; Сайто, М.; Кадомура, С.; Нагашима, Н. (2006). «Высокопроизводительные и маломощные технологии КМОП-устройств с металлическими/высококалорийными затворными стеками с одноосными напряженными кремниевыми каналами на подложках (100) и (110)». Международная конференция по электронным приборам 2006 г. стр. 1–4. doi :10.1109 /IEDM.2006.346959. ISBN 1-4244-0438-X. S2CID  23881959.
  174. ^ Нараянан, В. (2007). «High-k/Metal Gates — от исследований к реальности». Международный семинар по физике полупроводниковых приборов 2007 г. стр. 42–45. doi :10.1109/IWPSD.2007.4472451. ISBN 978-1-4244-1727-8. S2CID  25926459.
  175. ^ "Решение High-k - IEEE Spectrum". IEEE .
  176. ^ Кхаре, Мукеш (2007). «Технология High-K/Metal Gate: A New Horizon». Конференция IEEE Custom Integrated Circuits 2007 г. стр. 417–420. doi :10.1109/CICC.2007.4405765. ISBN 978-1-4244-0786-6. S2CID  1589266.
  177. ^ Видман, Д.; Мадер, Х.; Фридрих, Х. (9 марта 2013 г.). Технология интегральных схем. Springer. ISBN 978-3-662-04160-4.
  178. ^ «Процесс разводки BEOL для логики КМОП».
  179. ^ abc LaPedus, Марк (22 мая 2017 г.). «Гонка за 10/7 нм». Полупроводниковая инженерия .
  180. ^ Химико-механическая планаризация полупроводниковых материалов. Springer. 26 января 2004 г. ISBN 978-3-540-43181-7.
  181. ^ Технология медных межсоединений. Springer. 22 января 2010 г. ISBN 978-1-4419-0076-0.
  182. ^ «Введение в медные / низкокалиберные межсоединения и основы электромиграции».
  183. ^ Дюбуа, Жеро; Фольксен, Вилли (24 февраля 2012 г.). «Материалы Low -k : последние достижения». В Бакланов, Михаил Р.; Хо, Пол С.; Цшех, Эренфрид (ред.). Материалы Low-k: последние достижения. Wiley. стр. 1–33. doi :10.1002/9781119963677.ch1. ISBN 978-0-470-66254-0– через CrossRef.
  184. ^ Li, Z.; Tian, ​​Y.; Teng, C.; Cao, H. (2020). "Последние достижения в области барьерного слоя медных межсоединений". Материалы . 13 (21): 5049. Bibcode : 2020Mate...13.5049L. doi : 10.3390/ma13215049 . PMC 7664900. PMID  33182434 . 
  185. ^ «Разработка IBM медных межсоединений для интегральных схем».
  186. ^ «Инкапсуляция кобальтом расширяет медь до 10 нм». 13 мая 2014 г.
  187. ^ Löper, Philipp; Stuckelberger, Michael; Niesen, Bjoern; Werner, Jérémie; Filipič, Miha; Moon, Soo-Jin; Yum, Jun-Ho; Topič, Marko; De Wolf, Stefaan; Ballif, Christophe (2015). "Complex Refractive Index Spectra of CH3NH3PbI3 Perovskite Thin Films Defined by Spectroscopic Ellipsometry and Spectrophotometry". The Journal of Physical Chemistry Letters . 6 (1): 66–71. doi :10.1021/jz502471h. PMID  26263093. Получено 16.11.2021 .
  188. ^ ab "Yield and Yield Management" (PDF) . Эффективное по затратам производство интегральных схем (PDF) . Integrated Circuit Engineering Corporation. 1997. ISBN 1-877750-60-3. Архивировано из оригинала 2023-01-22 . Получено 2023-01-22 .{{cite book}}: CS1 maint: бот: исходный статус URL неизвестен ( ссылка )
  189. ^ Катресс, д-р Ян. "Ранний тестовый чип TSMC 5 нм дает 80%, HVM появится в первом полугодии 2020 года". AnandTech . Архивировано из оригинала 25-05-2020 . Получено 12-04-2020 .
  190. ^ "Advanced MOSFETs and Novel Devices" (PDF) . Архивировано из оригинала (PDF) 2020-10-26 . Получено 2020-10-23 .
  191. ^ "Введение в полупроводниковую технологию" (PDF) . STMicroelectronics . стр. 6. Архивировано (PDF) из оригинала 2018-04-03 . Получено 2018-09-25 .
  192. ^ "Wafer Backgrind". eesemi.com . Архивировано из оригинала 2021-01-22 . Получено 2020-12-18 .
  193. ^ https://www.st.com/resource/en/application_note/cd00003986-introduction-to-semiconductor-technology-stmicroelectronics.pdf [ простой URL PDF ]
  194. ^ "Дебаты о постпроизводственном процессе для 3D-ИС: Foundry или OSATS". 30 апреля 2009 г.
  195. ^ «ATMP: краеугольный камень индийской эры полупроводников». 19 января 2022 г.
  196. ^ «Карта экосистемы полупроводников США». 20 марта 2023 г.
  197. ^ Тадани, Ахил; Аллен, Грегори К. (30 мая 2023 г.). «Картирование цепочки поставок полупроводников: критическая роль Индо-Тихоокеанского региона».
  198. ^ «Почему техногенное загрязнение становится глобальным». CNET . 25 апреля 2002 г. Получено 17 февраля 2024 г.
  199. ^ Балига, Б. (2 декабря 2012 г.). Технология эпитаксиального кремния. Elsevier. ISBN 978-0-323-15545-8– через Google Книги.

Дальнейшее чтение

Внешние ссылки